adv7511: Update interrupts.
The ad_interrupts.v was used to concatenate the interrupts.main
parent
a870603db5
commit
4f15f5c34c
|
@ -3,4 +3,4 @@ source $ad_hdl_dir/projects/common/ac701/ac701_system_bd.tcl
|
||||||
set_property -dict [list CONFIG.NUM_MI {7}] $axi_cpu_interconnect
|
set_property -dict [list CONFIG.NUM_MI {7}] $axi_cpu_interconnect
|
||||||
set_property -dict [list CONFIG.NUM_SI {8}] $axi_mem_interconnect
|
set_property -dict [list CONFIG.NUM_SI {8}] $axi_mem_interconnect
|
||||||
set_property -dict [list CONFIG.NUM_MI {1}] $axi_mem_interconnect
|
set_property -dict [list CONFIG.NUM_MI {1}] $axi_mem_interconnect
|
||||||
set_property -dict [list CONFIG.NUM_PORTS {5}] $sys_concat_intc
|
|
||||||
|
|
|
@ -7,7 +7,8 @@ source $ad_hdl_dir/projects/scripts/adi_project.tcl
|
||||||
adi_project_create adv7511_ac701
|
adi_project_create adv7511_ac701
|
||||||
adi_project_files adv7511_ac701 [list \
|
adi_project_files adv7511_ac701 [list \
|
||||||
"system_top.v" \
|
"system_top.v" \
|
||||||
"$ad_hdl_dir/projects/common/ac701/ac701_system_constr.xdc" ]
|
"$ad_hdl_dir/projects/common/ac701/ac701_system_constr.xdc" \
|
||||||
|
"$ad_hdl_dir/library/common/ad_interrupts.v"]
|
||||||
|
|
||||||
adi_project_run adv7511_ac701
|
adi_project_run adv7511_ac701
|
||||||
|
|
||||||
|
|
|
@ -1,9 +1,9 @@
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// Copyright 2011(c) Analog Devices, Inc.
|
// Copyright 2011(c) Analog Devices, Inc.
|
||||||
//
|
//
|
||||||
// All rights reserved.
|
// All rights reserved.
|
||||||
//
|
//
|
||||||
// Redistribution and use in source and binary forms, with or without modification,
|
// Redistribution and use in source and binary forms, with or without modification,
|
||||||
// are permitted provided that the following conditions are met:
|
// are permitted provided that the following conditions are met:
|
||||||
// - Redistributions of source code must retain the above copyright
|
// - Redistributions of source code must retain the above copyright
|
||||||
|
@ -21,16 +21,16 @@
|
||||||
// patent holders to use this software.
|
// patent holders to use this software.
|
||||||
// - Use of the software either in source or binary form, must be run
|
// - Use of the software either in source or binary form, must be run
|
||||||
// on or directly connected to an Analog Devices Inc. component.
|
// on or directly connected to an Analog Devices Inc. component.
|
||||||
//
|
//
|
||||||
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
|
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
|
||||||
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
|
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
|
||||||
// PARTICULAR PURPOSE ARE DISCLAIMED.
|
// PARTICULAR PURPOSE ARE DISCLAIMED.
|
||||||
//
|
//
|
||||||
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
|
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
|
||||||
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
|
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
|
||||||
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
|
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
|
||||||
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
|
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
|
||||||
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
|
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
|
||||||
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
|
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
|
@ -147,6 +147,48 @@ module system_top (
|
||||||
|
|
||||||
assign mgt_clk_sel = 2'd0;
|
assign mgt_clk_sel = 2'd0;
|
||||||
|
|
||||||
|
wire timer_irq;
|
||||||
|
wire eth_irq;
|
||||||
|
wire eth_dma_mm2s_irq;
|
||||||
|
wire eth_dma_s2mm_irq;
|
||||||
|
wire uart_irq;
|
||||||
|
wire gpio_lcd_irq;
|
||||||
|
wire gpio_sw_irq;
|
||||||
|
wire spdif_dma_irq;
|
||||||
|
wire iic_irq;
|
||||||
|
wire hdmi_dma_irq;
|
||||||
|
wire [31:0] mb_axi_intr;
|
||||||
|
|
||||||
|
ad_interrupts #(
|
||||||
|
.C_PROC_TYPE(0)
|
||||||
|
) i_ad_interrupts (
|
||||||
|
.timer_irq(timer_irq),
|
||||||
|
.eth_irq(eth_irq),
|
||||||
|
.eth_dma_mm2s_irq(eth_dma_mm2s),
|
||||||
|
.eth_dma_s2mm_irq(eth_dma_s2mm),
|
||||||
|
.uart_irq(uart_irq),
|
||||||
|
.gpio_lcd_irq(gpio_lcd_irq),
|
||||||
|
.gpio_sw_irq(gpio_sw_irq),
|
||||||
|
.spdif_dma_irq(spdif_dma_irq),
|
||||||
|
.hdmi_dma_irq(hdmi_dma_irq),
|
||||||
|
.iic_irq(iic_irq),
|
||||||
|
.dev0_dma_irq(1'b0),
|
||||||
|
.dev1_dma_irq(1'b0),
|
||||||
|
.dev2_dma_irq(1'b0),
|
||||||
|
.dev3_dma_irq(1'b0),
|
||||||
|
.dev4_dma_irq(1'b0),
|
||||||
|
.dev5_dma_irq(1'b0),
|
||||||
|
.spi0_irq(1'b0),
|
||||||
|
.spi1_irq(1'b0),
|
||||||
|
.spi2_irq(1'b0),
|
||||||
|
.spi3_irq(1'b0),
|
||||||
|
.gpio0_irq(1'b0),
|
||||||
|
.gpio1_irq(1'b0),
|
||||||
|
.gpio2_irq(1'b0),
|
||||||
|
.gpio3_irq(1'b0),
|
||||||
|
.mb_axi_intr(mb_axi_intr),
|
||||||
|
.ps7_irq_f2p());
|
||||||
|
|
||||||
// instantiations
|
// instantiations
|
||||||
|
|
||||||
system_wrapper i_system_wrapper (
|
system_wrapper i_system_wrapper (
|
||||||
|
@ -191,7 +233,18 @@ module system_top (
|
||||||
.sys_clk_p (sys_clk_p),
|
.sys_clk_p (sys_clk_p),
|
||||||
.sys_rst (sys_rst),
|
.sys_rst (sys_rst),
|
||||||
.uart_sin (uart_sin),
|
.uart_sin (uart_sin),
|
||||||
.uart_sout (uart_sout));
|
.uart_sout (uart_sout),
|
||||||
|
.timer_irq (timer_irq),
|
||||||
|
.eth_irq (eth_irq),
|
||||||
|
.eth_dma_mm2s_irq (eth_dma_mm2s_irq),
|
||||||
|
.eth_dma_s2mm_irq (eth_dma_s2mm_irq),
|
||||||
|
.uart_irq (uart_irq),
|
||||||
|
.gpio_lcd_irq (gpio_lcd_irq),
|
||||||
|
.gpio_sw_irq (gpio_sw_irq),
|
||||||
|
.spdif_dma_irq (spdif_dma_irq),
|
||||||
|
.iic_irq (iic_irq),
|
||||||
|
.hdmi_dma_irq (hdmi_dma_irq),
|
||||||
|
.mb_axi_intr (mb_axi_intr));
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
|
|
@ -3,5 +3,4 @@ source $ad_hdl_dir/projects/common/kc705/kc705_system_bd.tcl
|
||||||
set_property -dict [list CONFIG.NUM_MI {7}] $axi_cpu_interconnect
|
set_property -dict [list CONFIG.NUM_MI {7}] $axi_cpu_interconnect
|
||||||
set_property -dict [list CONFIG.NUM_SI {8}] $axi_mem_interconnect
|
set_property -dict [list CONFIG.NUM_SI {8}] $axi_mem_interconnect
|
||||||
set_property -dict [list CONFIG.NUM_MI {1}] $axi_mem_interconnect
|
set_property -dict [list CONFIG.NUM_MI {1}] $axi_mem_interconnect
|
||||||
set_property -dict [list CONFIG.NUM_PORTS {5}] $sys_concat_intc
|
|
||||||
|
|
||||||
|
|
|
@ -7,7 +7,8 @@ source $ad_hdl_dir/projects/scripts/adi_project.tcl
|
||||||
adi_project_create adv7511_kc705
|
adi_project_create adv7511_kc705
|
||||||
adi_project_files adv7511_kc705 [list \
|
adi_project_files adv7511_kc705 [list \
|
||||||
"system_top.v" \
|
"system_top.v" \
|
||||||
"$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc" ]
|
"$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc" \
|
||||||
|
"$ad_hdl_dir/library/common/ad_interrupts.v"]
|
||||||
|
|
||||||
adi_project_run adv7511_kc705
|
adi_project_run adv7511_kc705
|
||||||
|
|
||||||
|
|
|
@ -1,9 +1,9 @@
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// Copyright 2011(c) Analog Devices, Inc.
|
// Copyright 2011(c) Analog Devices, Inc.
|
||||||
//
|
//
|
||||||
// All rights reserved.
|
// All rights reserved.
|
||||||
//
|
//
|
||||||
// Redistribution and use in source and binary forms, with or without modification,
|
// Redistribution and use in source and binary forms, with or without modification,
|
||||||
// are permitted provided that the following conditions are met:
|
// are permitted provided that the following conditions are met:
|
||||||
// - Redistributions of source code must retain the above copyright
|
// - Redistributions of source code must retain the above copyright
|
||||||
|
@ -21,16 +21,16 @@
|
||||||
// patent holders to use this software.
|
// patent holders to use this software.
|
||||||
// - Use of the software either in source or binary form, must be run
|
// - Use of the software either in source or binary form, must be run
|
||||||
// on or directly connected to an Analog Devices Inc. component.
|
// on or directly connected to an Analog Devices Inc. component.
|
||||||
//
|
//
|
||||||
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
|
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
|
||||||
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
|
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
|
||||||
// PARTICULAR PURPOSE ARE DISCLAIMED.
|
// PARTICULAR PURPOSE ARE DISCLAIMED.
|
||||||
//
|
//
|
||||||
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
|
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
|
||||||
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
|
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
|
||||||
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
|
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
|
||||||
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
|
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
|
||||||
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
|
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
|
||||||
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
|
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
|
@ -153,6 +153,46 @@ module system_top (
|
||||||
|
|
||||||
output spdif;
|
output spdif;
|
||||||
|
|
||||||
|
wire timer_irq;
|
||||||
|
wire eth_irq;
|
||||||
|
wire uart_irq;
|
||||||
|
wire gpio_lcd_irq;
|
||||||
|
wire gpio_sw_irq;
|
||||||
|
wire spdif_dma_irq;
|
||||||
|
wire iic_irq;
|
||||||
|
wire hdmi_dma_irq;
|
||||||
|
wire [31:0] mb_axi_intr;
|
||||||
|
|
||||||
|
ad_interrupts #(
|
||||||
|
.C_PROC_TYPE(0)
|
||||||
|
) i_ad_interrupts (
|
||||||
|
.timer_irq(timer_irq),
|
||||||
|
.eth_irq(eth_irq),
|
||||||
|
.eth_dma_mm2s_irq(),
|
||||||
|
.eth_dma_s2mm_irq(),
|
||||||
|
.uart_irq(uart_irq),
|
||||||
|
.gpio_lcd_irq(gpio_lcd_irq),
|
||||||
|
.gpio_sw_irq(gpio_sw_irq),
|
||||||
|
.spdif_dma_irq(spdif_dma_irq),
|
||||||
|
.hdmi_dma_irq(hdmi_dma_irq),
|
||||||
|
.iic_irq(iic_irq),
|
||||||
|
.dev0_dma_irq(1'b0),
|
||||||
|
.dev1_dma_irq(1'b0),
|
||||||
|
.dev2_dma_irq(1'b0),
|
||||||
|
.dev3_dma_irq(1'b0),
|
||||||
|
.dev4_dma_irq(1'b0),
|
||||||
|
.dev5_dma_irq(1'b0),
|
||||||
|
.spi0_irq(1'b0),
|
||||||
|
.spi1_irq(1'b0),
|
||||||
|
.spi2_irq(1'b0),
|
||||||
|
.spi3_irq(1'b0),
|
||||||
|
.gpio0_irq(1'b0),
|
||||||
|
.gpio1_irq(1'b0),
|
||||||
|
.gpio2_irq(1'b0),
|
||||||
|
.gpio3_irq(1'b0),
|
||||||
|
.mb_axi_intr(mb_axi_intr),
|
||||||
|
.ps7_irq_f2p());
|
||||||
|
|
||||||
// instantiations
|
// instantiations
|
||||||
|
|
||||||
system_wrapper i_system_wrapper (
|
system_wrapper i_system_wrapper (
|
||||||
|
@ -203,10 +243,15 @@ module system_top (
|
||||||
.sys_rst (sys_rst),
|
.sys_rst (sys_rst),
|
||||||
.uart_sin (uart_sin),
|
.uart_sin (uart_sin),
|
||||||
.uart_sout (uart_sout),
|
.uart_sout (uart_sout),
|
||||||
.unc_int0 (1'b0),
|
.timer_irq (timer_irq),
|
||||||
.unc_int1 (1'b0),
|
.eth_irq (eth_irq),
|
||||||
.unc_int2 (1'b0),
|
.uart_irq (uart_irq),
|
||||||
.unc_int3 (1'b0));
|
.gpio_lcd_irq (gpio_lcd_irq),
|
||||||
|
.gpio_sw_irq (gpio_sw_irq),
|
||||||
|
.spdif_dma_irq (spdif_dma_irq),
|
||||||
|
.iic_irq (iic_irq),
|
||||||
|
.hdmi_dma_irq (hdmi_dma_irq),
|
||||||
|
.mb_axi_intr (mb_axi_intr));
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
|
|
@ -5,7 +5,8 @@ source $ad_hdl_dir/projects/scripts/adi_project.tcl
|
||||||
adi_project_create adv7511_kcu105
|
adi_project_create adv7511_kcu105
|
||||||
adi_project_files adv7511_kcu105 [list \
|
adi_project_files adv7511_kcu105 [list \
|
||||||
"system_top.v" \
|
"system_top.v" \
|
||||||
"$ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc" ]
|
"$ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc" \
|
||||||
|
"$ad_hdl_dir/library/common/ad_interrupts.v"]
|
||||||
|
|
||||||
adi_project_run adv7511_kcu105
|
adi_project_run adv7511_kcu105
|
||||||
|
|
||||||
|
|
|
@ -1,9 +1,9 @@
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// Copyright 2011(c) Analog Devices, Inc.
|
// Copyright 2011(c) Analog Devices, Inc.
|
||||||
//
|
//
|
||||||
// All rights reserved.
|
// All rights reserved.
|
||||||
//
|
//
|
||||||
// Redistribution and use in source and binary forms, with or without modification,
|
// Redistribution and use in source and binary forms, with or without modification,
|
||||||
// are permitted provided that the following conditions are met:
|
// are permitted provided that the following conditions are met:
|
||||||
// - Redistributions of source code must retain the above copyright
|
// - Redistributions of source code must retain the above copyright
|
||||||
|
@ -21,16 +21,16 @@
|
||||||
// patent holders to use this software.
|
// patent holders to use this software.
|
||||||
// - Use of the software either in source or binary form, must be run
|
// - Use of the software either in source or binary form, must be run
|
||||||
// on or directly connected to an Analog Devices Inc. component.
|
// on or directly connected to an Analog Devices Inc. component.
|
||||||
//
|
//
|
||||||
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
|
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
|
||||||
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
|
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
|
||||||
// PARTICULAR PURPOSE ARE DISCLAIMED.
|
// PARTICULAR PURPOSE ARE DISCLAIMED.
|
||||||
//
|
//
|
||||||
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
|
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
|
||||||
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
|
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
|
||||||
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
|
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
|
||||||
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
|
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
|
||||||
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
|
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
|
||||||
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
|
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
|
@ -143,6 +143,48 @@ module system_top (
|
||||||
|
|
||||||
assign fan_pwm = 1'b1;
|
assign fan_pwm = 1'b1;
|
||||||
|
|
||||||
|
wire timer_irq;
|
||||||
|
wire eth_irq;
|
||||||
|
wire eth_dma_mm2s_irq;
|
||||||
|
wire eth_dma_s2mm_irq;
|
||||||
|
wire uart_irq;
|
||||||
|
wire gpio_lcd_irq;
|
||||||
|
wire gpio_sw_irq;
|
||||||
|
wire spdif_dma_irq;
|
||||||
|
wire iic_irq;
|
||||||
|
wire hdmi_dma_irq;
|
||||||
|
wire [31:0] mb_axi_intr;
|
||||||
|
|
||||||
|
ad_interrupts #(
|
||||||
|
.C_PROC_TYPE(0)
|
||||||
|
) i_ad_interrupts (
|
||||||
|
.timer_irq(timer_irq),
|
||||||
|
.eth_irq(eth_irq),
|
||||||
|
.eth_dma_mm2s_irq(eth_dma_mm2s),
|
||||||
|
.eth_dma_s2mm_irq(eth_dma_s2mm),
|
||||||
|
.uart_irq(uart_irq),
|
||||||
|
.gpio_lcd_irq(gpio_lcd_irq),
|
||||||
|
.gpio_sw_irq(gpio_sw_irq),
|
||||||
|
.spdif_dma_irq(spdif_dma_irq),
|
||||||
|
.hdmi_dma_irq(hdmi_dma_irq),
|
||||||
|
.iic_irq(iic_irq),
|
||||||
|
.dev0_dma_irq(1'b0),
|
||||||
|
.dev1_dma_irq(1'b0),
|
||||||
|
.dev2_dma_irq(1'b0),
|
||||||
|
.dev3_dma_irq(1'b0),
|
||||||
|
.dev4_dma_irq(1'b0),
|
||||||
|
.dev5_dma_irq(1'b0),
|
||||||
|
.spi0_irq(1'b0),
|
||||||
|
.spi1_irq(1'b0),
|
||||||
|
.spi2_irq(1'b0),
|
||||||
|
.spi3_irq(1'b0),
|
||||||
|
.gpio0_irq(1'b0),
|
||||||
|
.gpio1_irq(1'b0),
|
||||||
|
.gpio2_irq(1'b0),
|
||||||
|
.gpio3_irq(1'b0),
|
||||||
|
.mb_axi_intr(mb_axi_intr),
|
||||||
|
.ps7_irq_f2p());
|
||||||
|
|
||||||
// instantiations
|
// instantiations
|
||||||
|
|
||||||
system_wrapper i_system_wrapper (
|
system_wrapper i_system_wrapper (
|
||||||
|
@ -187,9 +229,17 @@ module system_top (
|
||||||
.sys_rst (sys_rst),
|
.sys_rst (sys_rst),
|
||||||
.uart_sin (uart_sin),
|
.uart_sin (uart_sin),
|
||||||
.uart_sout (uart_sout),
|
.uart_sout (uart_sout),
|
||||||
.unc_int2 (1'b0),
|
.timer_irq (timer_irq),
|
||||||
.unc_int3 (1'b0),
|
.eth_irq (eth_irq),
|
||||||
.unc_int4 (1'b0));
|
.eth_dma_mm2s_irq (eth_dma_mm2s_irq),
|
||||||
|
.eth_dma_s2mm_irq (eth_dma_s2mm_irq),
|
||||||
|
.uart_irq (uart_irq),
|
||||||
|
.gpio_lcd_irq (gpio_lcd_irq),
|
||||||
|
.gpio_sw_irq (gpio_sw_irq),
|
||||||
|
.spdif_dma_irq (spdif_dma_irq),
|
||||||
|
.iic_irq (iic_irq),
|
||||||
|
.hdmi_dma_irq (hdmi_dma_irq),
|
||||||
|
.mb_axi_intr (mb_axi_intr));
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
|
|
@ -3,6 +3,5 @@ source $ad_hdl_dir/projects/common/vc707/vc707_system_bd.tcl
|
||||||
set_property -dict [list CONFIG.NUM_MI {7}] $axi_cpu_interconnect
|
set_property -dict [list CONFIG.NUM_MI {7}] $axi_cpu_interconnect
|
||||||
set_property -dict [list CONFIG.NUM_SI {8}] $axi_mem_interconnect
|
set_property -dict [list CONFIG.NUM_SI {8}] $axi_mem_interconnect
|
||||||
set_property -dict [list CONFIG.NUM_MI {1}] $axi_mem_interconnect
|
set_property -dict [list CONFIG.NUM_MI {1}] $axi_mem_interconnect
|
||||||
set_property -dict [list CONFIG.NUM_PORTS {5}] $sys_concat_intc
|
|
||||||
|
|
||||||
|
|
||||||
|
|
|
@ -5,7 +5,8 @@ source ${ad_hdl_dir}/projects/scripts/adi_project.tcl
|
||||||
adi_project_create adv7511_vc707
|
adi_project_create adv7511_vc707
|
||||||
adi_project_files adv7511_vc707 [list \
|
adi_project_files adv7511_vc707 [list \
|
||||||
"system_top.v" \
|
"system_top.v" \
|
||||||
"$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ]
|
"$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" \
|
||||||
|
"$ad_hdl_dir/library/common/ad_interrupts.v"]
|
||||||
|
|
||||||
adi_project_run adv7511_vc707
|
adi_project_run adv7511_vc707
|
||||||
|
|
||||||
|
|
|
@ -1,9 +1,9 @@
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// Copyright 2011(c) Analog Devices, Inc.
|
// Copyright 2011(c) Analog Devices, Inc.
|
||||||
//
|
//
|
||||||
// All rights reserved.
|
// All rights reserved.
|
||||||
//
|
//
|
||||||
// Redistribution and use in source and binary forms, with or without modification,
|
// Redistribution and use in source and binary forms, with or without modification,
|
||||||
// are permitted provided that the following conditions are met:
|
// are permitted provided that the following conditions are met:
|
||||||
// - Redistributions of source code must retain the above copyright
|
// - Redistributions of source code must retain the above copyright
|
||||||
|
@ -21,16 +21,16 @@
|
||||||
// patent holders to use this software.
|
// patent holders to use this software.
|
||||||
// - Use of the software either in source or binary form, must be run
|
// - Use of the software either in source or binary form, must be run
|
||||||
// on or directly connected to an Analog Devices Inc. component.
|
// on or directly connected to an Analog Devices Inc. component.
|
||||||
//
|
//
|
||||||
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
|
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
|
||||||
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
|
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
|
||||||
// PARTICULAR PURPOSE ARE DISCLAIMED.
|
// PARTICULAR PURPOSE ARE DISCLAIMED.
|
||||||
//
|
//
|
||||||
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
|
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
|
||||||
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
|
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
|
||||||
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
|
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
|
||||||
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
|
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
|
||||||
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
|
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
|
||||||
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
|
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
|
@ -145,6 +145,48 @@ module system_top (
|
||||||
|
|
||||||
output spdif;
|
output spdif;
|
||||||
|
|
||||||
|
wire timer_irq;
|
||||||
|
wire eth_irq;
|
||||||
|
wire eth_dma_mm2s_irq;
|
||||||
|
wire eth_dma_s2mm_irq;
|
||||||
|
wire uart_irq;
|
||||||
|
wire gpio_lcd_irq;
|
||||||
|
wire gpio_sw_irq;
|
||||||
|
wire spdif_dma_irq;
|
||||||
|
wire iic_irq;
|
||||||
|
wire hdmi_dma_irq;
|
||||||
|
wire [31:0] mb_axi_intr;
|
||||||
|
|
||||||
|
ad_interrupts #(
|
||||||
|
.C_PROC_TYPE(0)
|
||||||
|
) i_ad_interrupts (
|
||||||
|
.timer_irq(timer_irq),
|
||||||
|
.eth_irq(eth_irq),
|
||||||
|
.eth_dma_mm2s_irq(eth_dma_mm2s),
|
||||||
|
.eth_dma_s2mm_irq(eth_dma_s2mm),
|
||||||
|
.uart_irq(uart_irq),
|
||||||
|
.gpio_lcd_irq(gpio_lcd_irq),
|
||||||
|
.gpio_sw_irq(gpio_sw_irq),
|
||||||
|
.spdif_dma_irq(spdif_dma_irq),
|
||||||
|
.hdmi_dma_irq(hdmi_dma_irq),
|
||||||
|
.iic_irq(iic_irq),
|
||||||
|
.dev0_dma_irq(1'b0),
|
||||||
|
.dev1_dma_irq(1'b0),
|
||||||
|
.dev2_dma_irq(1'b0),
|
||||||
|
.dev3_dma_irq(1'b0),
|
||||||
|
.dev4_dma_irq(1'b0),
|
||||||
|
.dev5_dma_irq(1'b0),
|
||||||
|
.spi0_irq(1'b0),
|
||||||
|
.spi1_irq(1'b0),
|
||||||
|
.spi2_irq(1'b0),
|
||||||
|
.spi3_irq(1'b0),
|
||||||
|
.gpio0_irq(1'b0),
|
||||||
|
.gpio1_irq(1'b0),
|
||||||
|
.gpio2_irq(1'b0),
|
||||||
|
.gpio3_irq(1'b0),
|
||||||
|
.mb_axi_intr(mb_axi_intr),
|
||||||
|
.ps7_irq_f2p());
|
||||||
|
|
||||||
// instantiations
|
// instantiations
|
||||||
|
|
||||||
system_wrapper i_system_wrapper (
|
system_wrapper i_system_wrapper (
|
||||||
|
@ -189,7 +231,18 @@ module system_top (
|
||||||
.sys_clk_p (sys_clk_p),
|
.sys_clk_p (sys_clk_p),
|
||||||
.sys_rst (sys_rst),
|
.sys_rst (sys_rst),
|
||||||
.uart_sin (uart_sin),
|
.uart_sin (uart_sin),
|
||||||
.uart_sout (uart_sout));
|
.uart_sout (uart_sout),
|
||||||
|
.timer_irq (timer_irq),
|
||||||
|
.eth_irq (eth_irq),
|
||||||
|
.eth_dma_mm2s_irq (eth_dma_mm2s_irq),
|
||||||
|
.eth_dma_s2mm_irq (eth_dma_s2mm_irq),
|
||||||
|
.uart_irq (uart_irq),
|
||||||
|
.gpio_lcd_irq (gpio_lcd_irq),
|
||||||
|
.gpio_sw_irq (gpio_sw_irq),
|
||||||
|
.spdif_dma_irq (spdif_dma_irq),
|
||||||
|
.iic_irq (iic_irq),
|
||||||
|
.hdmi_dma_irq (hdmi_dma_irq),
|
||||||
|
.mb_axi_intr (mb_axi_intr));
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
|
|
@ -2,7 +2,6 @@
|
||||||
source $ad_hdl_dir/projects/common/zc702/zc702_system_bd.tcl
|
source $ad_hdl_dir/projects/common/zc702/zc702_system_bd.tcl
|
||||||
set_property -dict [list CONFIG.PCW_GPIO_EMIO_GPIO_IO {32}] $sys_ps7
|
set_property -dict [list CONFIG.PCW_GPIO_EMIO_GPIO_IO {32}] $sys_ps7
|
||||||
set_property -dict [list CONFIG.NUM_MI {7}] $axi_cpu_interconnect
|
set_property -dict [list CONFIG.NUM_MI {7}] $axi_cpu_interconnect
|
||||||
set_property -dict [list CONFIG.NUM_PORTS {5}] $sys_concat_intc
|
|
||||||
|
|
||||||
set_property LEFT 31 [get_bd_ports GPIO_I]
|
set_property LEFT 31 [get_bd_ports GPIO_I]
|
||||||
set_property LEFT 31 [get_bd_ports GPIO_O]
|
set_property LEFT 31 [get_bd_ports GPIO_O]
|
||||||
|
|
|
@ -7,7 +7,9 @@ source $ad_hdl_dir/projects/scripts/adi_project.tcl
|
||||||
adi_project_create adv7511_zc702
|
adi_project_create adv7511_zc702
|
||||||
adi_project_files adv7511_zc702 [list \
|
adi_project_files adv7511_zc702 [list \
|
||||||
"system_top.v" \
|
"system_top.v" \
|
||||||
"$ad_hdl_dir/projects/common/zc702/zc702_system_constr.xdc" ]
|
"$ad_hdl_dir/projects/common/zc702/zc702_system_constr.xdc" \
|
||||||
|
"$ad_hdl_dir/library/common/ad_iobuf.v" \
|
||||||
|
"$ad_hdl_dir/library/common/ad_interrupts.v"]
|
||||||
|
|
||||||
adi_project_run adv7511_zc702
|
adi_project_run adv7511_zc702
|
||||||
|
|
||||||
|
|
|
@ -1,9 +1,9 @@
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// Copyright 2011(c) Analog Devices, Inc.
|
// Copyright 2011(c) Analog Devices, Inc.
|
||||||
//
|
//
|
||||||
// All rights reserved.
|
// All rights reserved.
|
||||||
//
|
//
|
||||||
// Redistribution and use in source and binary forms, with or without modification,
|
// Redistribution and use in source and binary forms, with or without modification,
|
||||||
// are permitted provided that the following conditions are met:
|
// are permitted provided that the following conditions are met:
|
||||||
// - Redistributions of source code must retain the above copyright
|
// - Redistributions of source code must retain the above copyright
|
||||||
|
@ -21,16 +21,16 @@
|
||||||
// patent holders to use this software.
|
// patent holders to use this software.
|
||||||
// - Use of the software either in source or binary form, must be run
|
// - Use of the software either in source or binary form, must be run
|
||||||
// on or directly connected to an Analog Devices Inc. component.
|
// on or directly connected to an Analog Devices Inc. component.
|
||||||
//
|
//
|
||||||
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
|
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
|
||||||
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
|
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
|
||||||
// PARTICULAR PURPOSE ARE DISCLAIMED.
|
// PARTICULAR PURPOSE ARE DISCLAIMED.
|
||||||
//
|
//
|
||||||
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
|
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
|
||||||
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
|
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
|
||||||
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
|
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
|
||||||
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
|
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
|
||||||
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
|
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
|
||||||
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
|
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
|
@ -115,22 +115,53 @@ module system_top (
|
||||||
|
|
||||||
// internal signals
|
// internal signals
|
||||||
|
|
||||||
wire [31:0] gpio_i;
|
wire [15:0] gpio_i;
|
||||||
wire [31:0] gpio_o;
|
wire [15:0] gpio_o;
|
||||||
wire [31:0] gpio_t;
|
wire [15:0] gpio_t;
|
||||||
|
|
||||||
|
wire hdmi_dma_irq;
|
||||||
|
wire iic_irq;
|
||||||
|
wire [15:0] ps7_irq_f2p;
|
||||||
|
|
||||||
// instantiations
|
// instantiations
|
||||||
|
|
||||||
genvar n;
|
ad_iobuf #(
|
||||||
generate
|
.DATA_WIDTH(16)
|
||||||
for (n = 0; n <= 15; n = n + 1) begin: g_iobuf_gpio_bd
|
) i_gpio_bd (
|
||||||
IOBUF i_iobuf_gpio_bd (
|
.dt(gpio_t),
|
||||||
.I (gpio_o[n]),
|
.di(gpio_o),
|
||||||
.O (gpio_i[n]),
|
.do(gpio_i),
|
||||||
.T (gpio_t[n]),
|
.dio(gpio_bd));
|
||||||
.IO (gpio_bd[n]));
|
|
||||||
end
|
ad_interrupts #(
|
||||||
endgenerate
|
.C_PROC_TYPE(1)
|
||||||
|
) i_ad_interrupts (
|
||||||
|
.timer_irq(1'b0),
|
||||||
|
.eth_irq(1'b0),
|
||||||
|
.eth_dma_mm2s_irq(1'b0),
|
||||||
|
.eth_dma_s2mm_irq(1'b0),
|
||||||
|
.uart_irq(1'b0),
|
||||||
|
.gpio_lcd_irq(1'b0),
|
||||||
|
.gpio_sw_irq(1'b0),
|
||||||
|
.spdif_dma_irq(1'b0),
|
||||||
|
.hdmi_dma_irq(hdmi_dma_irq),
|
||||||
|
.iic_irq(iic_irq),
|
||||||
|
.dev0_dma_irq(1'b0),
|
||||||
|
.dev1_dma_irq(1'b0),
|
||||||
|
.dev2_dma_irq(1'b0),
|
||||||
|
.dev3_dma_irq(1'b0),
|
||||||
|
.dev4_dma_irq(1'b0),
|
||||||
|
.dev5_dma_irq(1'b0),
|
||||||
|
.spi0_irq(1'b0),
|
||||||
|
.spi1_irq(1'b0),
|
||||||
|
.spi2_irq(1'b0),
|
||||||
|
.spi3_irq(1'b0),
|
||||||
|
.gpio0_irq(1'b0),
|
||||||
|
.gpio1_irq(1'b0),
|
||||||
|
.gpio2_irq(1'b0),
|
||||||
|
.gpio3_irq(1'b0),
|
||||||
|
.mb_axi_intr(),
|
||||||
|
.ps7_irq_f2p(ps7_irq_f2p));
|
||||||
|
|
||||||
system_wrapper i_system_wrapper (
|
system_wrapper i_system_wrapper (
|
||||||
.DDR_addr (DDR_addr),
|
.DDR_addr (DDR_addr),
|
||||||
|
@ -164,7 +195,10 @@ module system_top (
|
||||||
.hdmi_vsync (hdmi_vsync),
|
.hdmi_vsync (hdmi_vsync),
|
||||||
.iic_main_scl_io (iic_scl),
|
.iic_main_scl_io (iic_scl),
|
||||||
.iic_main_sda_io (iic_sda),
|
.iic_main_sda_io (iic_sda),
|
||||||
.spdif (spdif));
|
.spdif (spdif),
|
||||||
|
.hdmi_dma_irq (hdmi_dma_irq),
|
||||||
|
.iic_irq (iic_irq),
|
||||||
|
.ps7_irq_f2p (ps7_irq_f2p));
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
|
|
@ -1,14 +1,13 @@
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
source ../../scripts/adi_env.tcl
|
source ../../scripts/adi_env.tcl
|
||||||
source $ad_hdl_dir/projects/scripts/adi_project.tcl
|
source $ad_hdl_dir/projects/scripts/adi_project.tcl
|
||||||
|
|
||||||
adi_project_create adv7511_zc706
|
adi_project_create adv7511_zc706
|
||||||
adi_project_files adv7511_zc706 [list \
|
adi_project_files adv7511_zc706 [list \
|
||||||
"system_top.v" \
|
"system_top.v" \
|
||||||
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ]
|
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \
|
||||||
|
"$ad_hdl_dir/library/common/ad_iobuf.v" \
|
||||||
|
"$ad_hdl_dir/library/common/ad_interrupts.v"]
|
||||||
|
|
||||||
adi_project_run adv7511_zc706
|
adi_project_run adv7511_zc706
|
||||||
|
|
||||||
|
|
||||||
|
|
|
@ -1,9 +1,9 @@
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// Copyright 2011(c) Analog Devices, Inc.
|
// Copyright 2011(c) Analog Devices, Inc.
|
||||||
//
|
//
|
||||||
// All rights reserved.
|
// All rights reserved.
|
||||||
//
|
//
|
||||||
// Redistribution and use in source and binary forms, with or without modification,
|
// Redistribution and use in source and binary forms, with or without modification,
|
||||||
// are permitted provided that the following conditions are met:
|
// are permitted provided that the following conditions are met:
|
||||||
// - Redistributions of source code must retain the above copyright
|
// - Redistributions of source code must retain the above copyright
|
||||||
|
@ -21,16 +21,16 @@
|
||||||
// patent holders to use this software.
|
// patent holders to use this software.
|
||||||
// - Use of the software either in source or binary form, must be run
|
// - Use of the software either in source or binary form, must be run
|
||||||
// on or directly connected to an Analog Devices Inc. component.
|
// on or directly connected to an Analog Devices Inc. component.
|
||||||
//
|
//
|
||||||
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
|
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
|
||||||
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
|
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
|
||||||
// PARTICULAR PURPOSE ARE DISCLAIMED.
|
// PARTICULAR PURPOSE ARE DISCLAIMED.
|
||||||
//
|
//
|
||||||
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
|
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
|
||||||
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
|
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
|
||||||
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
|
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
|
||||||
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
|
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
|
||||||
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
|
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
|
||||||
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
|
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
// ***************************************************************************
|
// ***************************************************************************
|
||||||
|
@ -115,22 +115,53 @@ module system_top (
|
||||||
|
|
||||||
// internal signals
|
// internal signals
|
||||||
|
|
||||||
wire [31:0] gpio_i;
|
wire [14:0] gpio_i;
|
||||||
wire [31:0] gpio_o;
|
wire [14:0] gpio_o;
|
||||||
wire [31:0] gpio_t;
|
wire [14:0] gpio_t;
|
||||||
|
|
||||||
|
wire hdmi_dma_irq;
|
||||||
|
wire iic_irq;
|
||||||
|
wire [15:0] ps7_irq_f2p;
|
||||||
|
|
||||||
// instantiations
|
// instantiations
|
||||||
|
|
||||||
genvar n;
|
ad_iobuf #(
|
||||||
generate
|
.DATA_WIDTH(15)
|
||||||
for (n = 0; n <= 14; n = n + 1) begin: g_iobuf_gpio_bd
|
) i_gpio_bd (
|
||||||
IOBUF i_iobuf_gpio_bd (
|
.dt(gpio_t),
|
||||||
.I (gpio_o[n]),
|
.di(gpio_o),
|
||||||
.O (gpio_i[n]),
|
.do(gpio_i),
|
||||||
.T (gpio_t[n]),
|
.dio(gpio_bd));
|
||||||
.IO (gpio_bd[n]));
|
|
||||||
end
|
ad_interrupts #(
|
||||||
endgenerate
|
.C_PROC_TYPE(1)
|
||||||
|
) i_ad_interrupts (
|
||||||
|
.timer_irq(1'b0),
|
||||||
|
.eth_irq(1'b0),
|
||||||
|
.eth_dma_mm2s_irq(1'b0),
|
||||||
|
.eth_dma_s2mm_irq(1'b0),
|
||||||
|
.uart_irq(1'b0),
|
||||||
|
.gpio_lcd_irq(1'b0),
|
||||||
|
.gpio_sw_irq(1'b0),
|
||||||
|
.spdif_dma_irq(1'b0),
|
||||||
|
.hdmi_dma_irq(hdmi_dma_irq),
|
||||||
|
.iic_irq(iic_irq),
|
||||||
|
.dev0_dma_irq(1'b0),
|
||||||
|
.dev1_dma_irq(1'b0),
|
||||||
|
.dev2_dma_irq(1'b0),
|
||||||
|
.dev3_dma_irq(1'b0),
|
||||||
|
.dev4_dma_irq(1'b0),
|
||||||
|
.dev5_dma_irq(1'b0),
|
||||||
|
.spi0_irq(1'b0),
|
||||||
|
.spi1_irq(1'b0),
|
||||||
|
.spi2_irq(1'b0),
|
||||||
|
.spi3_irq(1'b0),
|
||||||
|
.gpio0_irq(1'b0),
|
||||||
|
.gpio1_irq(1'b0),
|
||||||
|
.gpio2_irq(1'b0),
|
||||||
|
.gpio3_irq(1'b0),
|
||||||
|
.mb_axi_intr(),
|
||||||
|
.ps7_irq_f2p(ps7_irq_f2p));
|
||||||
|
|
||||||
system_wrapper i_system_wrapper (
|
system_wrapper i_system_wrapper (
|
||||||
.DDR_addr (DDR_addr),
|
.DDR_addr (DDR_addr),
|
||||||
|
@ -164,7 +195,10 @@ module system_top (
|
||||||
.hdmi_vsync (hdmi_vsync),
|
.hdmi_vsync (hdmi_vsync),
|
||||||
.iic_main_scl_io (iic_scl),
|
.iic_main_scl_io (iic_scl),
|
||||||
.iic_main_sda_io (iic_sda),
|
.iic_main_sda_io (iic_sda),
|
||||||
.spdif (spdif));
|
.spdif (spdif),
|
||||||
|
.hdmi_dma_irq (hdmi_dma_irq),
|
||||||
|
.iic_irq (iic_irq),
|
||||||
|
.ps7_irq_f2p (ps7_irq_f2p));
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
|
Loading…
Reference in New Issue