all/system_top.v: loopback gpio lines

Create loopback on unused GPIO lines since Linux may rely on it.
main
Laszlo Nagy 2018-10-03 15:47:35 +01:00 committed by Laszlo Nagy
parent 2b868c2857
commit 4ce153e6e1
53 changed files with 156 additions and 156 deletions

View File

@ -113,7 +113,7 @@ ad_iobuf #(.DATA_WIDTH(15)) iobuf_gpio_bd (
.dio_t (gpio_t[14:0]),
.dio_p (gpio_bd));
assign gpio_i[63:15] = 'h0;
assign gpio_i[63:15] = gpio_o[63:15];
ad9265_spi i_spi (
.spi_csn(spi0_csn[1:0]),

View File

@ -99,7 +99,7 @@ module system_top (
// assignments
assign gpio_i[63:15] = 'h0;
assign gpio_i[63:15] = gpio_o[63:15];
// instantiations

View File

@ -133,8 +133,8 @@ module system_top (
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
assign gpio_i[63:32] = 'h0;
assign gpio_i[31:17] = 'h0;
assign gpio_i[63:32] = gpio_o[63:32];
assign gpio_i[31:17] = gpio_o[31:17];
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -115,7 +115,7 @@ wire iic_mux_sda_t_s;
.dio_o ({gpio_i[31:0]}),
.dio_p (gpio_bd));
assign gpio_i[63:32] = 'h0;
assign gpio_i[63:32] = gpio_o[63:32];
ad_iobuf #(.DATA_WIDTH(2)) i_iobuf_iic_scl (
.dio_t ({iic_mux_scl_t_s,iic_mux_scl_t_s}),

View File

@ -118,7 +118,7 @@ module system_top (
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
assign gpio_i[63:15] = 'h0;
assign gpio_i[63:15] = gpio_o[63:15];
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -115,8 +115,8 @@ module system_top (
wire tx_sync;
wire sysref;
assign gpio_i[94:60] = 'h0;
assign gpio_i[31:21] = 'h0;
assign gpio_i[94:60] = gpio_o[94:60];
assign gpio_i[31:21] = gpio_o[31:21];
assign sysref_out = 0;
@ -199,7 +199,7 @@ module system_top (
adrv9009_gpio_16, // 33
adrv9009_gpio_18})); // 32
assign gpio_i[ 7: 0] = 'h0;
assign gpio_i[ 7: 0] = gpio_o[ 7: 0];
assign gpio_i[20: 8] = gpio_bd_i;
assign gpio_bd_o = gpio_o[ 7: 0];

View File

@ -128,7 +128,7 @@ module system_top (
// board gpio - 31-0
assign gpio_i[31:20] = 'h0;
assign gpio_i[31:20] = gpio_o[31:20];
ad_iobuf #(.DATA_WIDTH(20)) i_iobuf_bd (
.dio_t (gpio_t[19:0]),
@ -138,8 +138,8 @@ module system_top (
// ad9361 gpio - 63-32
assign gpio_i[63:52] = 'h0;
assign gpio_i[50:47] = 'h0;
assign gpio_i[63:52] = gpio_o[63:52];
assign gpio_i[50:47] = gpio_o[50:47];
ad_iobuf #(.DATA_WIDTH(16)) i_iobuf (
.dio_t ({gpio_t[51], gpio_t[46:32]}),

View File

@ -132,7 +132,7 @@ module system_top (
// board gpio - 31-0
assign gpio_i[31:20] = 'h0;
assign gpio_i[31:20] = gpio_o[31:20];
ad_iobuf #(.DATA_WIDTH(20)) i_iobuf_bd (
.dio_t (gpio_t[19:0]),
@ -142,8 +142,8 @@ module system_top (
// ad9361 gpio - 63-32
assign gpio_i[63:52] = 'h0;
assign gpio_i[50:47] = 'h0;
assign gpio_i[63:52] = gpio_o[63:52];
assign gpio_i[50:47] = gpio_o[50:47];
ad_iobuf #(.DATA_WIDTH(16)) i_iobuf (
.dio_t ({gpio_t[51], gpio_t[46:32]}),

View File

@ -159,9 +159,9 @@ module system_top (
// gpio[31:20] controls misc stuff (keep as io)
assign gpio_i[31:29] = 'h0;
assign gpio_i[31:29] = gpio_o[31:29];
assign gpio_i[28:28] = imu_ready;
assign gpio_i[27:27] = 'h0;
assign gpio_i[27:27] = gpio_o[27:27];
// rtc int gpio - 26
@ -193,7 +193,7 @@ module system_top (
// gpio[19:16] controls adp5061 (keep as io)
assign gpio_i[19] = 'h0;
assign gpio_i[19] = gpio_o[19];
ad_iobuf #(.DATA_WIDTH(3)) i_iobuf_adp5061 (
.dio_t (gpio_t[18:16]),
@ -210,7 +210,7 @@ module system_top (
assign oled_dc = gpio_o[11];
assign oled_rst = gpio_o[10];
assign imu_rstn = gpio_o[9];
assign gpio_i[11:9] = 'h0;
assign gpio_i[11:9] = gpio_o[11:9];
ad_iobuf #(.DATA_WIDTH(1)) i_iobuf_imu_sync (
.dio_t (gpio_t[8]),
@ -230,14 +230,14 @@ module system_top (
assign switch_led_r = gpio_o[2];
assign switch_led_g = gpio_o[1];
assign switch_led_b = gpio_o[0];
assign gpio_i[3:0] = 'h0;
assign gpio_i[3:0] = gpio_o[3:0];
// unused gpio - 63:30
assign gpio_i[63] = 'h0;
assign gpio_i[62] = 'h0;
assign gpio_i[61] = 'h0;
assign gpio_i[60] = 'h0;
assign gpio_i[63] = gpio_o[63];
assign gpio_i[62] = gpio_o[62];
assign gpio_i[61] = gpio_o[61];
assign gpio_i[60] = gpio_o[60];
// tsw-part-2 gpio - 59:57
@ -259,7 +259,7 @@ module system_top (
// unused gpio - 55:53
assign gpio_i[55:53] = 'h0;
assign gpio_i[55:53] = gpio_o[55:53];
// rf & clock-select gpio - 52:51

View File

@ -227,7 +227,7 @@ module system_top (
// board gpio - 31-0
assign gpio_i[31:21] = 'h0;
assign gpio_i[31:21] = gpio_o[31:21];
ad_iobuf #(.DATA_WIDTH(21)) i_iobuf_bd (
.dio_t (gpio_t[20:0]),
@ -237,7 +237,7 @@ module system_top (
// unused gpio - 63-61
assign gpio_i[63:61] = 'h0;
assign gpio_i[63:61] = gpio_o[63:61];
// rf & ad9517 gpio - 60:56
@ -253,7 +253,7 @@ module system_top (
// unused gpio - 55:53
assign gpio_i[55:53] = 'h0;
assign gpio_i[55:53] = gpio_o[55:53];
// rf & clock-select gpio - 52:51
@ -266,7 +266,7 @@ module system_top (
// unused gpio - 50:47
assign gpio_i[50:47] = 'h0;
assign gpio_i[50:47] = gpio_o[50:47];
// ad9361 gpio - 46:32

View File

@ -112,7 +112,7 @@ module system_top (
// board gpio - 31-0
assign gpio_i[31:11] = 'h0;
assign gpio_i[31:11] = gpio_o[31:11];
ad_iobuf #(.DATA_WIDTH(11)) i_iobuf_bd (
.dio_t (gpio_t[10:0]),
@ -122,8 +122,8 @@ module system_top (
// ad9361 gpio - 63-32
assign gpio_i[63:52] = 'h0;
assign gpio_i[50:47] = 'h0;
assign gpio_i[63:52] = gpio_o[63:52];
assign gpio_i[50:47] = gpio_o[50:47];
ad_iobuf #(.DATA_WIDTH(16)) i_iobuf (
.dio_t ({gpio_t[51], gpio_t[46:32]}),

View File

@ -116,7 +116,7 @@ module system_top (
// board gpio - 31-0
assign gpio_i[31:11] = 'h0;
assign gpio_i[31:11] = gpio_o[31:11];
ad_iobuf #(.DATA_WIDTH(11)) i_iobuf_bd (
.dio_t (gpio_t[10:0]),
@ -126,8 +126,8 @@ module system_top (
// ad9361 gpio - 63-32
assign gpio_i[63:52] = 'h0;
assign gpio_i[50:47] = 'h0;
assign gpio_i[63:52] = gpio_o[63:52];
assign gpio_i[50:47] = gpio_o[50:47];
ad_iobuf #(.DATA_WIDTH(16)) i_iobuf (
.dio_t ({gpio_t[51], gpio_t[46:32]}),

View File

@ -157,9 +157,9 @@ module system_top (
// gpio[31:20] controls misc stuff (keep as io)
assign gpio_i[31:29] = 'h0;
assign gpio_i[31:29] = gpio_o[31:29];
assign gpio_i[28:28] = imu_ready;
assign gpio_i[27:27] = 'h0;
assign gpio_i[27:27] = gpio_o[27:27];
// rtc int gpio - 26
@ -171,7 +171,7 @@ module system_top (
// unused gpio - 25:24
assign gpio_i[25:24] = 'h0;
assign gpio_i[25:24] = gpio_o[25:24];
// misc gpio - 23:20
@ -186,7 +186,7 @@ module system_top (
// gpio[19:16] controls adp5061 (keep as io)
assign gpio_i[19] = 'h0;
assign gpio_i[19] = gpio_o[19];
ad_iobuf #(.DATA_WIDTH(3)) i_iobuf_adp5061 (
.dio_t (gpio_t[18:16]),
@ -203,7 +203,7 @@ module system_top (
assign oled_dc = gpio_o[11];
assign oled_rst = gpio_o[10];
assign imu_rstn = gpio_o[9];
assign gpio_i[11:9] = 'h0;
assign gpio_i[11:9] = gpio_o[11:9];
ad_iobuf #(.DATA_WIDTH(1)) i_iobuf_imu_sync (
.dio_t (gpio_t[8]),
@ -223,14 +223,14 @@ module system_top (
assign switch_led_r = gpio_o[2];
assign switch_led_g = gpio_o[1];
assign switch_led_b = gpio_o[0];
assign gpio_i[3:0] = 'h0;
assign gpio_i[3:0] = gpio_o[3:0];
// unused gpio - 63:30
assign gpio_i[63] = 'h0;
assign gpio_i[62] = 'h0;
assign gpio_i[61] = 'h0;
assign gpio_i[60] = 'h0;
assign gpio_i[63] = gpio_o[63];
assign gpio_i[62] = gpio_o[62];
assign gpio_i[61] = gpio_o[61];
assign gpio_i[60] = gpio_o[60];
// tsw-part-2 gpio - 59:57
@ -252,7 +252,7 @@ module system_top (
// unused gpio - 55:53
assign gpio_i[55:53] = 'h0;
assign gpio_i[55:53] = gpio_o[55:53];
// rf & clock-select gpio - 52:51

View File

@ -136,10 +136,10 @@ module system_top (
// gpio (ad9371)
assign gpio_i[63:61] = 'h0;
assign gpio_i[63:61] = gpio_o[63:61];
assign dac_fifo_bypass = gpio_o[60];
assign gpio_i[60:60] = 'h0;
assign gpio_i[60:60] = gpio_o[60:60];
assign ad9528_reset_b = gpio_o[59];
assign ad9528_sysref_req = gpio_o[58];
@ -149,11 +149,11 @@ module system_top (
assign ad9371_rx2_enable = gpio_o[54];
assign ad9371_test = gpio_o[53];
assign ad9371_reset_b = gpio_o[52];
assign gpio_i[59:52] = 'h0;
assign gpio_i[59:52] = gpio_o[59:52];
assign gpio_i[51:51] = ad9371_gpint;
assign gpio_i[50:32] = 'h0;
assign gpio_i[50:32] = gpio_o[50:32];
// board stuff
@ -163,9 +163,9 @@ module system_top (
assign ddr3_a[14:12] = 3'd0;
assign gpio_i[31:27] = 'h0;
assign gpio_i[31:27] = gpio_o[31:27];
assign gpio_i[26:16] = gpio_bd_i;
assign gpio_i[15: 0] = 'h0;
assign gpio_i[15: 0] = gpio_o[15: 0];
assign gpio_bd_o = gpio_o[15:0];

View File

@ -175,10 +175,10 @@ module system_top (
// gpio (ad9371)
assign gpio_i[63:61] = 'h0;
assign gpio_i[63:61] = gpio_o[63:61];
assign dac_fifo_bypass = gpio_o[60];
assign gpio_i[60:60] = 'h0;
assign gpio_i[60:60] = gpio_o[60:60];
assign ad9528_reset_b = gpio_o[59];
assign ad9528_sysref_req = gpio_o[58];
@ -188,19 +188,19 @@ module system_top (
assign ad9371_rx2_enable = gpio_o[54];
assign ad9371_test = gpio_o[53];
assign ad9371_reset_b = gpio_o[52];
assign gpio_i[59:52] = 'h0;
assign gpio_i[59:52] = gpio_o[59:52];
assign gpio_i[51:51] = ad9371_gpint;
assign gpio_i[50:32] = 'h0;
assign gpio_i[50:32] = gpio_o[50:32];
// board stuff (max-v-u21)
assign gpio_i[31:14] = 'h0;
assign gpio_i[31:14] = gpio_o[31:14];
assign gpio_i[13:13] = sys_ddr_cal_success;
assign gpio_i[12:12] = sys_ddr_cal_fail;
assign gpio_i[11: 4] = gpio_bd_i;
assign gpio_i[ 3: 0] = 'h0;
assign gpio_i[ 3: 0] = gpio_o[ 3: 0];
assign gpio_bd_o = gpio_o[3:0];

View File

@ -224,8 +224,8 @@ module system_top (
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
assign gpio_i[31:17] = 'h0;
assign gpio_i[63:60] = 'h0;
assign gpio_i[31:17] = gpio_o[31:17];
assign gpio_i[63:60] = gpio_o[63:60];
system_wrapper i_system_wrapper (
.dac_fifo_bypass (gpio_o[60]),

View File

@ -233,8 +233,8 @@ module system_top (
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
assign gpio_i[31:15] = 'h0;
assign gpio_i[63:60] = 'h0;
assign gpio_i[31:15] = gpio_o[31:15];
assign gpio_i[63:60] = gpio_o[63:60];
system_wrapper i_system_wrapper (
.dac_fifo_bypass (gpio_o[60]),

View File

@ -179,10 +179,10 @@ module system_top (
ad9371_gpio_16, // 33
ad9371_gpio_18})); // 32
assign gpio_i[ 7: 0] = 'h0;
assign gpio_i[ 7: 0] = gpio_o[ 7: 0];
assign gpio_i[20: 8] = gpio_bd_i;
assign gpio_i[31:21] = 'h0;
assign gpio_i[94:60] = 'h0;
assign gpio_i[31:21] = gpio_o[31:21];
assign gpio_i[94:60] = gpio_o[94:60];
assign gpio_bd_o = gpio_o[ 7: 0];

View File

@ -87,7 +87,7 @@ module system_top (
.dio_o (gpio_i[15:0]),
.dio_p ({gpio_bd[7:4], gpio_bd[15:8], gpio_bd[3:0]}));
assign gpio_i[63:16] = 'h0;
assign gpio_i[63:16] = gpio_o[63:16];
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -89,7 +89,7 @@ module system_top (
.dio_o(gpio_i[14:0]),
.dio_p(gpio_bd));
assign gpio_i[63:15] = 'h0;
assign gpio_i[63:15] = gpio_o[63:15];
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -95,7 +95,7 @@ module system_top (
wire [ 1:0] iic_mux_sda_o_s;
wire iic_mux_sda_t_s;
assign gpio_i[63:32] = 'h0;
assign gpio_i[63:32] = gpio_o[63:32];
// instantiations

View File

@ -111,8 +111,8 @@ module system_top (
assign gain1_o = gpio_o[33];
assign gpio_i[34] = spi_sdi; // Interrupt
assign gpio_i[33] = 'h0;
assign gpio_i[32] = 'h0;
assign gpio_i[33] = gpio_o[33];
assign gpio_i[32] = gpio_o[32];
assign led_clk_o = excitation;
ad_iobuf #(

View File

@ -155,21 +155,21 @@ module system_top (
// gpio in & out are separate cores
assign gpio_i[63:44] = 'h0;
assign gpio_i[63:44] = gpio_o[63:44];
assign dac_fifo_bypass = gpio_o[44];
assign gpio_i[43:43] = trig;
assign gpio_i[42:40] = 'h0;
assign gpio_i[42:40] = gpio_o[42:40];
assign adc_pd = gpio_o[42];
assign dac_txen = gpio_o[41];
assign dac_reset = gpio_o[40];
assign gpio_i[39:39] = 'h0;
assign gpio_i[39:39] = gpio_o[39:39];
assign gpio_i[38:38] = 'h0;
assign gpio_i[38:38] = gpio_o[38:38];
assign clkd_sync = gpio_o[38];
assign gpio_i[37:37] = 'h0;
assign gpio_i[37:37] = gpio_o[37:37];
assign gpio_i[36:36] = adc_fdb;
assign gpio_i[35:35] = adc_fda;
assign gpio_i[34:34] = dac_irq;
@ -183,9 +183,9 @@ module system_top (
assign ddr3_a[14:12] = 3'd0;
assign gpio_i[31:27] = 'h0;
assign gpio_i[31:27] = gpio_o[31:27];
assign gpio_i[26:16] = gpio_bd_i;
assign gpio_i[15: 0] = 'h0;
assign gpio_i[15: 0] = gpio_o[15: 0];
assign gpio_bd_o = gpio_o[15:0];

View File

@ -190,22 +190,22 @@ module system_top (
// gpio in & out are separate cores
assign gpio_i[63:45] = 'h0;
assign gpio_i[63:45] = gpio_o[63:45];
assign dac_fifo_bypass = gpio_o[44];
assign gpio_i[44:44] = 'h0;
assign gpio_i[44:44] = gpio_o[44:44];
assign gpio_i[43:43] = trig;
assign gpio_i[42:40] = 'h0;
assign gpio_i[42:40] = gpio_o[42:40];
assign adc_pd = gpio_o[42];
assign dac_txen = gpio_o[41];
assign dac_reset = gpio_o[40];
assign gpio_i[39:39] = 'h0;
assign gpio_i[39:39] = gpio_o[39:39];
assign gpio_i[38:38] = 'h0;
assign gpio_i[38:38] = gpio_o[38:38];
assign clkd_sync = gpio_o[38];
assign gpio_i[37:37] = 'h0;
assign gpio_i[37:37] = gpio_o[37:37];
assign gpio_i[36:36] = adc_fdb;
assign gpio_i[35:35] = adc_fda;
assign gpio_i[34:34] = dac_irq;
@ -213,9 +213,9 @@ module system_top (
// board stuff (max-v-u21)
assign gpio_i[31:12] = 'h0;
assign gpio_i[31:12] = gpio_o[31:12];
assign gpio_i[11: 4] = gpio_bd_i;
assign gpio_i[ 3: 0] = 'h0;
assign gpio_i[ 3: 0] = gpio_o[ 3: 0];
assign gpio_bd_o = gpio_o[3:0];

View File

@ -228,10 +228,10 @@ module system_top (
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
assign gpio_i[63:44] = 'h0;
assign gpio_i[39] = 'h0;
assign gpio_i[37] = 'h0;
assign gpio_i[31:17] = 'h0;
assign gpio_i[63:44] = gpio_o[63:44];
assign gpio_i[39] = gpio_o[39];
assign gpio_i[37] = gpio_o[37];
assign gpio_i[31:17] = gpio_o[31:17];
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -210,10 +210,10 @@ module system_top (
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
assign gpio_i[63:44] = 'h0;
assign gpio_i[39] = 'h0;
assign gpio_i[37] = 'h0;
assign gpio_i[31:17] = 'h0;
assign gpio_i[63:44] = gpio_o[63:44];
assign gpio_i[39] = gpio_o[39];
assign gpio_i[37] = gpio_o[37];
assign gpio_i[31:17] = gpio_o[31:17];
system_wrapper i_system_wrapper (
.c0_ddr4_act_n (ddr4_act_n),

View File

@ -222,10 +222,10 @@ module system_top (
.dio_o (gpio_i[20:0]),
.dio_p (gpio_bd));
assign gpio_i[63:44] = 'h0;
assign gpio_i[39] = 'h0;
assign gpio_i[37] = 'h0;
assign gpio_i[31:21] = 'h0;
assign gpio_i[63:44] = gpio_o[63:44];
assign gpio_i[39] = gpio_o[39];
assign gpio_i[37] = gpio_o[37];
assign gpio_i[31:21] = gpio_o[31:21];
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -229,10 +229,10 @@ module system_top (
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
assign gpio_i[63:44] = 'h0;
assign gpio_i[39] = 'h0;
assign gpio_i[37] = 'h0;
assign gpio_i[31:15] = 'h0;
assign gpio_i[63:44] = gpio_o[63:44];
assign gpio_i[39] = gpio_o[39];
assign gpio_i[37] = gpio_o[37];
assign gpio_i[31:15] = gpio_o[31:15];
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -154,16 +154,16 @@ module system_top (
assign clkd_sync = gpio_o[38];
assign gpio_bd_o = gpio_o[7:0];
assign gpio_i[94:44] = 'h0;
assign gpio_i[94:44] = gpio_o[94:44];
assign gpio_i[43:43] = trig;
assign gpio_i[42:37] = 'h0;
assign gpio_i[42:37] = gpio_o[42:37];
assign gpio_i[36:36] = adc_fdb;
assign gpio_i[35:35] = adc_fda;
assign gpio_i[34:34] = dac_irq;
assign gpio_i[33:32] = clkd_status;
assign gpio_i[31:21] = 'h0;
assign gpio_i[31:21] = gpio_o[31:21];
assign gpio_i[20: 8] = gpio_bd_i;
assign gpio_i[ 7: 0] = 'h0;
assign gpio_i[ 7: 0] = gpio_o[ 7: 0];
system_wrapper i_system_wrapper (
.gpio_i (gpio_i),

View File

@ -149,12 +149,12 @@ module system_top (
// gpio in & out are separate cores
assign gpio_i[63:40] = 'h0;
assign gpio_i[63:40] = gpio_o[63:40];
assign dac_fifo_bypass = gpio_o[41];
assign sysref = gpio_o[40];
assign gpio_i[39:39] = trig;
assign gpio_i[38:37] = 'h0;
assign gpio_i[38:37] = gpio_o[38:37];
assign adc_pd = gpio_o[38];
assign dac_txen = gpio_o[37];
@ -171,9 +171,9 @@ module system_top (
assign ddr3_a[14:12] = 3'd0;
assign gpio_i[31:27] = 'h0;
assign gpio_i[31:27] = gpio_o[31:27];
assign gpio_i[26:16] = gpio_bd_i;
assign gpio_i[15: 0] = 'h0;
assign gpio_i[15: 0] = gpio_o[15: 0];
assign gpio_bd_o = gpio_o[15:0];

View File

@ -213,8 +213,8 @@ module system_top (
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
assign gpio_i[63:40] = 'h0;
assign gpio_i[31:17] = 'h0;
assign gpio_i[63:40] = gpio_o[63:40];
assign gpio_i[31:17] = gpio_o[31:17];
system_wrapper i_system_wrapper (
.c0_ddr4_act_n (ddr4_act_n),

View File

@ -233,8 +233,8 @@ module system_top (
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
assign gpio_i[63:40] = 'h0;
assign gpio_i[31:15] = 'h0;
assign gpio_i[63:40] = gpio_o[63:40];
assign gpio_i[31:15] = gpio_o[31:15];
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -172,8 +172,8 @@ module system_top (
.dio_o (gpio_i[20:0]),
.dio_p (gpio_bd));
assign gpio_i[63:34] = 'h0;
assign gpio_i[31:21] = 'h0;
assign gpio_i[63:34] = gpio_o[63:34];
assign gpio_i[31:21] = gpio_o[31:21];
ad_sysref_gen i_sysref (
.core_clk (rx_clk),

View File

@ -180,8 +180,8 @@ module system_top (
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
assign gpio_i[63:34] = 'h0;
assign gpio_i[31:15] = 'h0;
assign gpio_i[63:34] = gpio_o[63:34];
assign gpio_i[31:15] = gpio_o[31:15];
ad_sysref_gen i_sysref (
.core_clk (rx_clk),

View File

@ -197,8 +197,8 @@ module system_top (
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
assign gpio_i[63:38] = 'h0;
assign gpio_i[31:15] = 'h0;
assign gpio_i[63:38] = gpio_o[63:38];
assign gpio_i[31:15] = gpio_o[31:15];
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -134,8 +134,8 @@ module system_top (
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
assign gpio_i[63:32] = 'h0;
assign gpio_i[31:17] = 'h0;
assign gpio_i[63:32] = gpio_o[63:32];
assign gpio_i[31:17] = gpio_o[31:17];
fmcjesdadc1_spi i_fmcjesdadc1_spi (
.spi_csn (spi_csn[0]),

View File

@ -131,8 +131,8 @@ module system_top (
.dio_o (gpio_i[20:0]),
.dio_p (gpio_bd));
assign gpio_i[63:32] = 'h0;
assign gpio_i[31:21] = 'h0;
assign gpio_i[63:32] = gpio_o[63:32];
assign gpio_i[31:21] = gpio_o[31:21];
fmcjesdadc1_spi i_fmcjesdadc1_spi (
.spi_csn (spi_csn_0),

View File

@ -120,8 +120,8 @@ module system_top (
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
assign gpio_i[63:32] = 'h0;
assign gpio_i[31:15] = 'h0;
assign gpio_i[63:32] = gpio_o[63:32];
assign gpio_i[31:15] = gpio_o[31:15];
assign spi_adc_clk = spi_clk;
assign spi_clk_clk = spi_clk;

View File

@ -155,8 +155,8 @@ module system_top (
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
assign gpio_i[63:47] = 'h0;
assign gpio_i[31:17] = 'h0;
assign gpio_i[63:47] = gpio_o[63:47];
assign gpio_i[31:17] = gpio_o[31:17];
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -127,9 +127,9 @@ module system_top (
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
assign gpio_i[63:40] = 'h0;
assign gpio_i[63:40] = gpio_o[63:40];
assign gpio_i[39:32] = gpio_status;
assign gpio_i[31:17] = 'h0;
assign gpio_i[31:17] = gpio_o[31:17];
system_wrapper i_system_wrapper (
.c0_ddr4_act_n (ddr4_act_n),

View File

@ -145,8 +145,8 @@ module system_top (
.dio_o (gpio_i[20:0]),
.dio_p (gpio_bd));
assign gpio_i[63:47] = 'h0;
assign gpio_i[31:21] = 'h0;
assign gpio_i[63:47] = gpio_o[63:47];
assign gpio_i[31:21] = gpio_o[31:21];
system_wrapper i_system_wrapper (
.ddr3_addr (ddr3_addr),

View File

@ -137,10 +137,10 @@ module system_top (
.dio_o ({gpio_i[15:12], gpio_i[7:0]}),
.dio_p ({gpio_bd[7:4], gpio_bd[11:8], gpio_bd[3:0]}));
assign gpio_i[63:51] = 'h0;
assign gpio_i[48:47] = 'h0;
assign gpio_i[31:16] = 'h0;
assign gpio_i[11: 8] = 'h0;
assign gpio_i[63:51] = gpio_o[63:51];
assign gpio_i[48:47] = gpio_o[48:47];
assign gpio_i[31:16] = gpio_o[31:16];
assign gpio_i[11: 8] = gpio_o[11: 8];
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -159,9 +159,9 @@ module system_top (
.dio_o (gpio_i[14:0]),
.dio_p (gpio_bd));
assign gpio_i[63:51] = 'h0;
assign gpio_i[48:47] = 'h0;
assign gpio_i[31:15] = 'h0;
assign gpio_i[63:51] = gpio_o[63:51];
assign gpio_i[48:47] = gpio_o[48:47];
assign gpio_i[31:15] = gpio_o[31:15];
ad_iobuf #(.DATA_WIDTH(1)) i_iobuf_tdd_sync (
.dio_t (tdd_sync_t),

View File

@ -81,9 +81,9 @@ module system_top (
assign gpio_ctl = gpio_o[43:40];
assign gpio_bd_o = gpio_o[20:13];
assign gpio_i[94:40] = 'h0;
assign gpio_i[94:40] = gpio_o[94:40];
assign gpio_i[39:32] = gpio_status;
assign gpio_i[31:13] = 'h0;
assign gpio_i[31:13] = gpio_o[31:13];
assign gpio_i[12: 0] = gpio_bd_i;
assign spi_csn = spi0_csn[0];

View File

@ -144,8 +144,8 @@ module system_top (
gpio_status,
gpio_bd}));
assign gpio_i[63:51] = 'h0;
assign gpio_i[48:47] = 'h0;
assign gpio_i[63:51] = gpio_o[63:51];
assign gpio_i[48:47] = gpio_o[48:47];
ad_iobuf #(.DATA_WIDTH(2)) i_iobuf_iic_scl (
.dio_t ({iic_mux_scl_t_s,iic_mux_scl_t_s}),

View File

@ -217,8 +217,8 @@ module system_top (
assign spi_clk = spi0_clk;
assign spi_mosi = spi0_mosi;
assign spi0_miso = spi_miso;
assign gpio_i[63:60] = 'h0;
assign gpio_i[45:44] = 'h0;
assign gpio_i[63:60] = gpio_o[63:60];
assign gpio_i[45:44] = gpio_o[45:44];
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -212,9 +212,9 @@ module system_top (
assign spi_clk = spi0_clk;
assign spi_mosi = spi0_mosi;
assign spi0_miso = spi_miso;
assign gpio_i[63:60] = 'h0;
assign gpio_i[45:44] = 'h0;
assign gpio_i[15] = 'h0;
assign gpio_i[63:60] = gpio_o[63:60];
assign gpio_i[45:44] = gpio_o[45:44];
assign gpio_i[15] = gpio_o[15];
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),

View File

@ -167,12 +167,12 @@ module system_top (
assign gpio_ctl_0 = gpio_o[41:38];
assign gpio_bd_o = gpio_o[20:13];
assign gpio_i[12: 0] = gpio_bd_i;
assign gpio_i[21:13] = 'h0;
assign gpio_i[21:13] = gpio_o[21:13];
assign gpio_i[29:22] = gpio_status_0;
assign gpio_i[37:30] = gpio_status_1;
assign gpio_i[63:38] = 'h0;
assign gpio_i[63:38] = gpio_o[63:38];
assign gpio_i[64] = gpio_ad5355_lock;
assign gpio_i[94:65] = 'h0;
assign gpio_i[94:65] = gpio_o[94:65];
assign spi_ad9361_0 = spi0_csn[0];
assign spi_ad9361_1 = spi0_csn[1];

View File

@ -100,7 +100,7 @@ module system_top (
wire [ 1:0] iic_mux_sda_o_s;
wire iic_mux_sda_t_s;
assign gpio_i[63:34] = 'h0;
assign gpio_i[63:34] = gpio_o[63:34];
// instantiations

View File

@ -115,7 +115,7 @@ module system_top (
.dio_p ({ en_power_analog,
ad9963_resetn}));
assign gpio_i[16:2] = 'h0;
assign gpio_i[16:2] = gpio_o[16:2];
ad_iobuf #(
.DATA_WIDTH(16)

View File

@ -171,8 +171,8 @@ module system_top (
assign pwm_m2_dh_o = 1'b0;
assign pwm_m2_dl_o = 1'b0;
assign gpio_i[63:35] = 'h0;
assign gpio_i[32] = 'h0;
assign gpio_i[63:35] = gpio_o[63:35];
assign gpio_i[32] = gpio_o[32];
// instantiations

View File

@ -92,7 +92,7 @@ module system_top (
wire [16:0] gpio_o;
wire [16:0] gpio_t;
assign gpio_i[16:15] = 'h0;
assign gpio_i[16:15] = gpio_o[16:15];
// instantiations
ad_iobuf #(.DATA_WIDTH(15)) i_iobuf (

View File

@ -102,7 +102,7 @@ module system_top (
gpio_ctl, // 11: 8
gpio_status})); // 7: 0
assign gpio_i[18:17] = 'h0;
assign gpio_i[18:17] = gpio_o[18:17];
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),