ad9144: missing prot. ports

main
Rejeesh Kutty 2015-05-21 14:02:27 -04:00
parent a059290cf5
commit 4c6a3afc88
1 changed files with 4 additions and 0 deletions

View File

@ -71,6 +71,7 @@ module axi_ad9144 (
s_axi_aresetn,
s_axi_awvalid,
s_axi_awaddr,
s_axi_awprot,
s_axi_awready,
s_axi_wvalid,
s_axi_wdata,
@ -81,6 +82,7 @@ module axi_ad9144 (
s_axi_bready,
s_axi_arvalid,
s_axi_araddr,
s_axi_arprot,
s_axi_arready,
s_axi_rvalid,
s_axi_rdata,
@ -123,6 +125,7 @@ module axi_ad9144 (
input s_axi_aresetn;
input s_axi_awvalid;
input [ 31:0] s_axi_awaddr;
input [ 2:0] s_axi_awprot;
output s_axi_awready;
input s_axi_wvalid;
input [ 31:0] s_axi_wdata;
@ -133,6 +136,7 @@ module axi_ad9144 (
input s_axi_bready;
input s_axi_arvalid;
input [ 31:0] s_axi_araddr;
input [ 2:0] s_axi_arprot;
output s_axi_arready;
output s_axi_rvalid;
output [ 31:0] s_axi_rdata;