projects/altera* - default & common qsys commands

main
Rejeesh Kutty 2016-12-20 16:27:44 -05:00
parent c0a2ef1ac4
commit 4a783d523d
10 changed files with 10 additions and 9 deletions

View File

@ -3,5 +3,4 @@
source $ad_hdl_dir/projects/common/a10gx/a10gx_system_qsys.tcl
source ../common/adrv9371x_qsys.tcl
save_system "system_bd.qsys"

View File

@ -3,5 +3,4 @@
source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl
source ../common/adrv9371x_qsys.tcl
save_system "system_bd.qsys"

View File

@ -28,8 +28,18 @@ puts $QFILE "set mmu_enabled $mmu_enabled"
puts $QFILE "set ad_hdl_dir $ad_hdl_dir"
puts $QFILE "set ad_phdl_dir $ad_phdl_dir"
puts $QFILE "source system_qsys.tcl"
puts $QFILE "set_interconnect_requirement {\$system} {qsys_mm.clockCrossingAdapter} {FIFO}"
puts $QFILE "set_interconnect_requirement {\$system} {qsys_mm.maxAdditionalLatency} {2}"
puts $QFILE "save_system {system_bd.qsys}"
close $QFILE
exec -ignorestderr $quartus(quartus_rootpath)/sopc_builder/bin/qsys-script \
--script=system_qsys_script.tcl
# remove altshift_taps
set_instance_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION OFF -to * -entity up_xfer_cntrl
set_instance_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION OFF -to * -entity up_xfer_status
set_instance_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION OFF -to * -entity up_clock_mon
set_instance_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION OFF -to * -entity ad_rst

View File

@ -2,5 +2,4 @@
source $ad_hdl_dir/projects/common/a10gx/a10gx_system_qsys.tcl
source ../common/daq1_qsys.tcl
save_system "system_bd.qsys"

View File

@ -2,5 +2,4 @@
source $ad_hdl_dir/projects/common/a10gx/a10gx_system_qsys.tcl
source ../common/daq2_qsys.tcl
save_system "system_bd.qsys"

View File

@ -3,5 +3,4 @@
source $ad_hdl_dir/projects/common/a10gx/a10gx_system_qsys.tcl
source ../common/daq3_qsys.tcl
save_system "system_bd.qsys"

View File

@ -2,5 +2,4 @@
source $ad_hdl_dir/projects/common/a5gt/a5gt_system_qsys.tcl
source ../common/fmcjesdadc1_qsys.tcl
save_system "system_bd.qsys"

View File

@ -3,5 +3,4 @@ source $ad_hdl_dir/projects/common/a5soc/a5soc_system_qsys.tcl
source ../common/fmcjesdadc1_qsys.tcl
set_instance_parameter_value avl_ad9250_xcvr {SYSCLK_FREQUENCY} {50.0}
save_system "system_bd.qsys"

View File

@ -2,5 +2,4 @@
source $ad_hdl_dir/projects/common/a10gx/a10gx_system_qsys.tcl
source ../common/fmcomms2_qsys.tcl
save_system "system_bd.qsys"

View File

@ -2,5 +2,4 @@
source $ad_hdl_dir/projects/common/a5gt/a5gt_system_qsys.tcl
source ../common/usdrx1_qsys.tcl
save_system "system_bd.qsys"