From 46808c4c41f7cfd1a4ce81cdaf50ba5ea9a9cf1a Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Wed, 16 Sep 2015 18:55:47 +0300 Subject: [PATCH] util_wfifo: Changed some reset for several registers from asynchronous to synchronous for better integration with the FIFO --- library/util_wfifo/util_wfifo.v | 10 ++++++++-- 1 file changed, 8 insertions(+), 2 deletions(-) diff --git a/library/util_wfifo/util_wfifo.v b/library/util_wfifo/util_wfifo.v index c02555d73..68bd80372 100644 --- a/library/util_wfifo/util_wfifo.v +++ b/library/util_wfifo/util_wfifo.v @@ -306,13 +306,19 @@ module util_wfifo ( if (dout_rstn == 1'b0) begin dout_enable_m <= 'd0; dout_enable <= 'd0; + end else begin + dout_enable_m <= din_enable; + dout_enable <= dout_enable_m; + end + end + + always @(posedge dout_clk) begin + if (dout_rstn == 1'b0) begin dout_rd <= 'd0; dout_rd_d <= 'd0; dout_rdata_d <= 'd0; dout_raddr <= 'd0; end else begin - dout_enable_m <= din_enable; - dout_enable <= dout_enable_m; dout_rd <= dout_rd_s; dout_rd_d <= dout_rd; dout_rdata_d <= dout_rdata_s;