From 418217dd109530896a70fcf14f8603b37e6886c6 Mon Sep 17 00:00:00 2001 From: Lars-Peter Clausen Date: Thu, 14 Jul 2016 17:09:20 +0200 Subject: [PATCH] pzsdr: Remove LED and button signals from PCIe carrier Only the FMC carrier and the breakout board do have push buttons and LEDs. They are not present on the PCIe carrier. So move the constraints to a separate file that can be included by the projects that need them and remove all LED and button related signals from the PCIe project. Signed-off-by: Lars-Peter Clausen --- projects/common/pzsdr/pzsdr_bd_system_constr.xdc | 16 ++++++++++++++++ projects/common/pzsdr/pzsdr_system_constr.xdc | 16 ---------------- projects/pzsdr/ccbrk/system_project.tcl | 2 ++ projects/pzsdr/ccbrk_cmos/system_project.tcl | 1 + projects/pzsdr/ccfmc/system_project.tcl | 2 ++ projects/pzsdr/ccpci/system_top.v | 10 ---------- 6 files changed, 21 insertions(+), 26 deletions(-) create mode 100644 projects/common/pzsdr/pzsdr_bd_system_constr.xdc diff --git a/projects/common/pzsdr/pzsdr_bd_system_constr.xdc b/projects/common/pzsdr/pzsdr_bd_system_constr.xdc new file mode 100644 index 000000000..1b84e3763 --- /dev/null +++ b/projects/common/pzsdr/pzsdr_bd_system_constr.xdc @@ -0,0 +1,16 @@ + +# gpio + +set_property -dict {PACKAGE_PIN J3 IOSTANDARD LVCMOS18} [get_ports gpio_bd[0]] ; ## (pb) IO_L12N_T1_MRCC_33 +set_property -dict {PACKAGE_PIN D8 IOSTANDARD LVCMOS18} [get_ports gpio_bd[1]] ; ## (pb) IO_L8N_T1_34 +set_property -dict {PACKAGE_PIN F9 IOSTANDARD LVCMOS18} [get_ports gpio_bd[2]] ; ## (pb) IO_L9P_T1_DQS_34 +set_property -dict {PACKAGE_PIN E8 IOSTANDARD LVCMOS18} [get_ports gpio_bd[3]] ; ## (pb) IO_L9N_T1_DQS_34 +set_property -dict {PACKAGE_PIN A8 IOSTANDARD LVCMOS18} [get_ports gpio_bd[4]] ; ## (led) IO_L17N_T2_34 +set_property -dict {PACKAGE_PIN W14 IOSTANDARD LVCMOS25} [get_ports gpio_bd[5]] ; ## (led) IO_0_12 +set_property -dict {PACKAGE_PIN W17 IOSTANDARD LVCMOS25} [get_ports gpio_bd[6]] ; ## (led) IO_25_12 +set_property -dict {PACKAGE_PIN Y16 IOSTANDARD LVCMOS25} [get_ports gpio_bd[7]] ; ## (led) IO_L23P_T3_12 +set_property -dict {PACKAGE_PIN Y15 IOSTANDARD LVCMOS25} [get_ports gpio_bd[8]] ; ## (dip) IO_L23N_T3_12 +set_property -dict {PACKAGE_PIN W16 IOSTANDARD LVCMOS25} [get_ports gpio_bd[9]] ; ## (dip) IO_L24P_T3_12 +set_property -dict {PACKAGE_PIN W15 IOSTANDARD LVCMOS25} [get_ports gpio_bd[10]] ; ## (dip) IO_L24N_T3_12 +set_property -dict {PACKAGE_PIN V19 IOSTANDARD LVCMOS25} [get_ports gpio_bd[11]] ; ## (dip) IO_0_13 + diff --git a/projects/common/pzsdr/pzsdr_system_constr.xdc b/projects/common/pzsdr/pzsdr_system_constr.xdc index 05e510ba8..0c941c9bf 100644 --- a/projects/common/pzsdr/pzsdr_system_constr.xdc +++ b/projects/common/pzsdr/pzsdr_system_constr.xdc @@ -33,19 +33,3 @@ set_property -dict {PACKAGE_PIN K12 IOSTANDARD LVCMOS18} [get_ports clk_out] set_property -dict {PACKAGE_PIN AF24 IOSTANDARD LVCMOS25 PULLTYPE PULLUP} [get_ports iic_scl] ; ## IO_L5P_T0_13 set_property -dict {PACKAGE_PIN AF25 IOSTANDARD LVCMOS25 PULLTYPE PULLUP} [get_ports iic_sda] ; ## IO_L5N_T0_13 - -# gpio - -set_property -dict {PACKAGE_PIN J3 IOSTANDARD LVCMOS18} [get_ports gpio_bd[0]] ; ## (pb) IO_L12N_T1_MRCC_33 -set_property -dict {PACKAGE_PIN D8 IOSTANDARD LVCMOS18} [get_ports gpio_bd[1]] ; ## (pb) IO_L8N_T1_34 -set_property -dict {PACKAGE_PIN F9 IOSTANDARD LVCMOS18} [get_ports gpio_bd[2]] ; ## (pb) IO_L9P_T1_DQS_34 -set_property -dict {PACKAGE_PIN E8 IOSTANDARD LVCMOS18} [get_ports gpio_bd[3]] ; ## (pb) IO_L9N_T1_DQS_34 -set_property -dict {PACKAGE_PIN A8 IOSTANDARD LVCMOS18} [get_ports gpio_bd[4]] ; ## (led) IO_L17N_T2_34 -set_property -dict {PACKAGE_PIN W14 IOSTANDARD LVCMOS25} [get_ports gpio_bd[5]] ; ## (led) IO_0_12 -set_property -dict {PACKAGE_PIN W17 IOSTANDARD LVCMOS25} [get_ports gpio_bd[6]] ; ## (led) IO_25_12 -set_property -dict {PACKAGE_PIN Y16 IOSTANDARD LVCMOS25} [get_ports gpio_bd[7]] ; ## (led) IO_L23P_T3_12 -set_property -dict {PACKAGE_PIN Y15 IOSTANDARD LVCMOS25} [get_ports gpio_bd[8]] ; ## (dip) IO_L23N_T3_12 -set_property -dict {PACKAGE_PIN W16 IOSTANDARD LVCMOS25} [get_ports gpio_bd[9]] ; ## (dip) IO_L24P_T3_12 -set_property -dict {PACKAGE_PIN W15 IOSTANDARD LVCMOS25} [get_ports gpio_bd[10]] ; ## (dip) IO_L24N_T3_12 -set_property -dict {PACKAGE_PIN V19 IOSTANDARD LVCMOS25} [get_ports gpio_bd[11]] ; ## (dip) IO_0_13 - diff --git a/projects/pzsdr/ccbrk/system_project.tcl b/projects/pzsdr/ccbrk/system_project.tcl index bcfeb1f4a..72cf71fed 100644 --- a/projects/pzsdr/ccbrk/system_project.tcl +++ b/projects/pzsdr/ccbrk/system_project.tcl @@ -11,9 +11,11 @@ adi_project_files ccbrk_pzsdr [list \ "system_constr.xdc"\ "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/pzsdr/pzsdr_system_constr.xdc" \ + "$ad_hdl_dir/projects/common/pzsdr/pzsdr_bd_system_constr.xdc" \ "$ad_hdl_dir/projects/common/pzsdr/pzsdr_lvds_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/pzsdr/pzsdr_system_constr.xdc] +set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/pzsdr/pzsdr_bd_system_constr.xdc] set_property PROCESSING_ORDER EARLY [get_files system_constr.xdc] adi_project_run ccbrk_pzsdr diff --git a/projects/pzsdr/ccbrk_cmos/system_project.tcl b/projects/pzsdr/ccbrk_cmos/system_project.tcl index 2912aaa6b..f32906815 100644 --- a/projects/pzsdr/ccbrk_cmos/system_project.tcl +++ b/projects/pzsdr/ccbrk_cmos/system_project.tcl @@ -11,6 +11,7 @@ adi_project_files ccbrk_cmos_pzsdr [list \ "../ccbrk/system_constr.xdc"\ "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/pzsdr/pzsdr_system_constr.xdc" \ + "$ad_hdl_dir/projects/common/pzsdr/pzsdr_bd_system_constr.xdc" \ "$ad_hdl_dir/projects/common/pzsdr/pzsdr_cmos_system_constr.xdc" ] adi_project_run ccbrk_cmos_pzsdr diff --git a/projects/pzsdr/ccfmc/system_project.tcl b/projects/pzsdr/ccfmc/system_project.tcl index 5b6abc180..7c1d7075e 100644 --- a/projects/pzsdr/ccfmc/system_project.tcl +++ b/projects/pzsdr/ccfmc/system_project.tcl @@ -11,9 +11,11 @@ adi_project_files ccfmc_pzsdr [list \ "system_constr.xdc"\ "$ad_hdl_dir/library/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/pzsdr/pzsdr_system_constr.xdc" \ + "$ad_hdl_dir/projects/common/pzsdr/pzsdr_bd_system_constr.xdc" \ "$ad_hdl_dir/projects/common/pzsdr/pzsdr_lvds_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/pzsdr/pzsdr_system_constr.xdc] +set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/pzsdr/pzsdr_bd_system_constr.xdc] set_property PROCESSING_ORDER EARLY [get_files system_constr.xdc] adi_project_run ccfmc_pzsdr diff --git a/projects/pzsdr/ccpci/system_top.v b/projects/pzsdr/ccpci/system_top.v index 835a89372..d72ac9b6e 100644 --- a/projects/pzsdr/ccpci/system_top.v +++ b/projects/pzsdr/ccpci/system_top.v @@ -65,8 +65,6 @@ module system_top ( iic_scl, iic_sda, - gpio_bd, - rx_clk_in_p, rx_clk_in_n, rx_frame_in_p, @@ -131,8 +129,6 @@ module system_top ( inout iic_scl; inout iic_sda; - inout [11:0] gpio_bd; - input rx_clk_in_p; input rx_clk_in_n; input rx_frame_in_p; @@ -205,12 +201,6 @@ module system_top ( gpio_ctl, // 43:40 gpio_status})); // 39:32 - ad_iobuf #(.DATA_WIDTH(12)) i_iobuf_bd ( - .dio_t (gpio_t[11:0]), - .dio_i (gpio_o[11:0]), - .dio_o (gpio_i[11:0]), - .dio_p (gpio_bd)); - system_wrapper i_system_wrapper ( .ddr_addr (ddr_addr), .ddr_ba (ddr_ba),