Regenerate project Makefiles using the new shared Makefile includes

This reduces the amount of boilerplate code that is present in these
Makefiles by a lot.

It also makes it possible to update the Makefile rules in future without
having to re-generate all the Makefiles.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
main
Lars-Peter Clausen 2018-03-22 17:13:48 +01:00 committed by István Csomortáni
parent 5272ed4eea
commit 377247a434
90 changed files with 1081 additions and 6628 deletions

View File

@ -1,87 +1,24 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := ad5766_sdz_zed
M_DEPS += ../common/ad5766_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad5766/axi_ad5766.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/spi_engine/axi_spi_engine/axi_spi_engine.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_execution/spi_engine_execution.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_interconnect/spi_engine_interconnect.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad5766
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_spdif_tx
LIB_DEPS += spi_engine/axi_spi_engine
LIB_DEPS += spi_engine/spi_engine_execution
LIB_DEPS += spi_engine/spi_engine_interconnect
LIB_DEPS += util_i2c_mixer
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib ad5766_sdz_zed.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad5766 clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/spi_engine/axi_spi_engine clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_execution clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_interconnect clean
$(MAKE) -C ../../../library/util_i2c_mixer clean
ad5766_sdz_zed.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad5766_sdz_zed_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad5766
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/spi_engine/axi_spi_engine
$(MAKE) -C ../../../library/spi_engine/spi_engine_execution
$(MAKE) -C ../../../library/spi_engine/spi_engine_interconnect
$(MAKE) -C ../../../library/util_i2c_mixer
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,81 +1,24 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := ad6676evb_vc707
M_DEPS += ../common/ad6676evb_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../common/vc707/vc707_system_constr.xdc
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_sysref_gen.v
M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad6676
LIB_DEPS += axi_dmac
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += util_cpack
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib ad6676evb_vc707.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad6676 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_cpack clean
ad6676evb_vc707.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad6676evb_vc707_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad6676
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_cpack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,89 +1,26 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := ad6676evb_zc706
M_DEPS += ../common/ad6676evb_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_sysref_gen.v
M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad6676
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += util_cpack
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib ad6676evb_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad6676 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_cpack clean
ad6676evb_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad6676evb_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad6676
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_cpack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,90 +1,25 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := ad7134_fmc_zed
M_DEPS += ../common/ad7134_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/spi_engine/axi_spi_engine/axi_spi_engine.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_execution/spi_engine_execution.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_interconnect/spi_engine_interconnect.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_offload/spi_engine_offload.xpr
M_DEPS += ../../../library/util_axis_upscale/util_axis_upscale.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_spdif_tx
LIB_DEPS += spi_engine/axi_spi_engine
LIB_DEPS += spi_engine/spi_engine_execution
LIB_DEPS += spi_engine/spi_engine_interconnect
LIB_DEPS += spi_engine/spi_engine_offload
LIB_DEPS += util_axis_upscale
LIB_DEPS += util_i2c_mixer
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib ad7134_fmc_zed.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/spi_engine/axi_spi_engine clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_execution clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_interconnect clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_offload clean
$(MAKE) -C ../../../library/util_axis_upscale clean
$(MAKE) -C ../../../library/util_i2c_mixer clean
ad7134_fmc_zed.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad7134_fmc_zed_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/spi_engine/axi_spi_engine
$(MAKE) -C ../../../library/spi_engine/spi_engine_execution
$(MAKE) -C ../../../library/spi_engine/spi_engine_interconnect
$(MAKE) -C ../../../library/spi_engine/spi_engine_offload
$(MAKE) -C ../../../library/util_axis_upscale
$(MAKE) -C ../../../library/util_i2c_mixer
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,93 +1,26 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := ad738x_fmc_zed
M_DEPS += ../common/ad738x_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/spi_engine/axi_spi_engine/axi_spi_engine.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_execution/spi_engine_execution.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_interconnect/spi_engine_interconnect.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_offload/spi_engine_offload.xpr
M_DEPS += ../../../library/util_axis_upscale/util_axis_upscale.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/util_pulse_gen/util_pulse_gen.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_spdif_tx
LIB_DEPS += spi_engine/axi_spi_engine
LIB_DEPS += spi_engine/spi_engine_execution
LIB_DEPS += spi_engine/spi_engine_interconnect
LIB_DEPS += spi_engine/spi_engine_offload
LIB_DEPS += util_axis_upscale
LIB_DEPS += util_i2c_mixer
LIB_DEPS += util_pulse_gen
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib ad738x_fmc_zed.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/spi_engine/axi_spi_engine clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_execution clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_interconnect clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_offload clean
$(MAKE) -C ../../../library/util_axis_upscale clean
$(MAKE) -C ../../../library/util_i2c_mixer clean
$(MAKE) -C ../../../library/util_pulse_gen clean
ad738x_fmc_zed.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad738x_fmc_zed_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/spi_engine/axi_spi_engine
$(MAKE) -C ../../../library/spi_engine/spi_engine_execution
$(MAKE) -C ../../../library/spi_engine/spi_engine_interconnect
$(MAKE) -C ../../../library/spi_engine/spi_engine_offload
$(MAKE) -C ../../../library/util_axis_upscale
$(MAKE) -C ../../../library/util_i2c_mixer
$(MAKE) -C ../../../library/util_pulse_gen
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,74 +1,21 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top_si.v
M_DEPS += system_top_pi.v
M_DEPS += system_project.tcl
M_DEPS += system_bd.tcl
PROJECT_NAME := ad7616_sdz_zc706
M_DEPS += serial_if_constr.xdc
M_DEPS += parallel_if_constr.xdc
M_DEPS += ../common/ad7616_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad7616/axi_ad7616.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad7616
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib ad7616_sdz_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad7616 clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
ad7616_sdz_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad7616_sdz_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad7616
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,80 +1,23 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top_si.v
M_DEPS += system_top_pi.v
M_DEPS += system_project.tcl
M_DEPS += system_bd.tcl
PROJECT_NAME := ad7616_sdz_zed
M_DEPS += serial_if_constr.xdc
M_DEPS += parallel_if_constr.xdc
M_DEPS += ../common/ad7616_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad7616/axi_ad7616.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad7616
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_i2c_mixer
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib ad7616_sdz_zed.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad7616 clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/util_i2c_mixer clean
ad7616_sdz_zed.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad7616_sdz_zed_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad7616
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/util_i2c_mixer
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,91 +1,26 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := ad77681evb_zed
M_DEPS += ../common/ad77681evb_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/xilinx/common/ad_data_clk.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/spi_engine/axi_spi_engine/axi_spi_engine.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_execution/spi_engine_execution.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_interconnect/spi_engine_interconnect.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_offload/spi_engine_offload.xpr
M_DEPS += ../../../library/util_axis_upscale/util_axis_upscale.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_spdif_tx
LIB_DEPS += spi_engine/axi_spi_engine
LIB_DEPS += spi_engine/spi_engine_execution
LIB_DEPS += spi_engine/spi_engine_interconnect
LIB_DEPS += spi_engine/spi_engine_offload
LIB_DEPS += util_axis_upscale
LIB_DEPS += util_i2c_mixer
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib ad77681evb_zed.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/spi_engine/axi_spi_engine clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_execution clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_interconnect clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_offload clean
$(MAKE) -C ../../../library/util_axis_upscale clean
$(MAKE) -C ../../../library/util_i2c_mixer clean
ad77681evb_zed.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad77681evb_zed_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/spi_engine/axi_spi_engine
$(MAKE) -C ../../../library/spi_engine/spi_engine_execution
$(MAKE) -C ../../../library/spi_engine/spi_engine_interconnect
$(MAKE) -C ../../../library/spi_engine/spi_engine_offload
$(MAKE) -C ../../../library/util_axis_upscale
$(MAKE) -C ../../../library/util_i2c_mixer
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,76 +1,21 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := ad7768evb_zed
M_DEPS += ../common/ad7768evb_bd.tcl
M_DEPS += ../common/ad7768_if.v
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_i2c_mixer
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib ad7768evb_zed.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/util_i2c_mixer clean
ad7768evb_zed.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad7768evb_zed_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/util_i2c_mixer
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,73 +1,20 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := ad9265_fmc_zc706
M_DEPS += ../common/ad9265_spi.v
M_DEPS += ../common/ad9265_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9265/axi_ad9265.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9265
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib ad9265_fmc_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9265 clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
ad9265_fmc_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad9265_fmc_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9265
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,73 +1,20 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := ad9434_fmc_zc706
M_DEPS += ../common/ad9434_spi.v
M_DEPS += ../common/ad9434_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9434/axi_ad9434.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9434
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib ad9434_fmc_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9434 clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
ad9434_fmc_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad9434_fmc_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9434
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,65 +1,18 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := ad9467_fmc_kc705
M_DEPS += ../common/ad9467_spi.v
M_DEPS += ../common/ad9467_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../common/kc705/kc705_system_constr.xdc
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9467
LIB_DEPS += axi_dmac
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib ad9467_fmc_kc705.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9467 clean
$(MAKE) -C ../../../library/axi_dmac clean
ad9467_fmc_kc705.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad9467_fmc_kc705_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9467
$(MAKE) -C ../../../library/axi_dmac
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,79 +1,22 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := ad9467_fmc_zed
M_DEPS += ../common/ad9467_spi.v
M_DEPS += ../common/ad9467_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9467
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_i2c_mixer
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib ad9467_fmc_zed.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9467 clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/util_i2c_mixer clean
ad9467_fmc_zed.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad9467_fmc_zed_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9467
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/util_i2c_mixer
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,72 +1,19 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := ad9739a_fmc_zc706
M_DEPS += ../common/ad9739a_fmc_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9739a/axi_ad9739a.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9739a
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib ad9739a_fmc_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9739a clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
ad9739a_fmc_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad9739a_fmc_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9739a
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,90 +1,25 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := adaq7980_sdz_zed
M_DEPS += ../common/adaq7980_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/spi_engine/axi_spi_engine/axi_spi_engine.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_execution/spi_engine_execution.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_interconnect/spi_engine_interconnect.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_offload/spi_engine_offload.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/util_pulse_gen/util_pulse_gen.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_spdif_tx
LIB_DEPS += spi_engine/axi_spi_engine
LIB_DEPS += spi_engine/spi_engine_execution
LIB_DEPS += spi_engine/spi_engine_interconnect
LIB_DEPS += spi_engine/spi_engine_offload
LIB_DEPS += util_i2c_mixer
LIB_DEPS += util_pulse_gen
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adaq7980_sdz_zed.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/spi_engine/axi_spi_engine clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_execution clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_interconnect clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_offload clean
$(MAKE) -C ../../../library/util_i2c_mixer clean
$(MAKE) -C ../../../library/util_pulse_gen clean
adaq7980_sdz_zed.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adaq7980_sdz_zed_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/spi_engine/axi_spi_engine
$(MAKE) -C ../../../library/spi_engine/spi_engine_execution
$(MAKE) -C ../../../library/spi_engine/spi_engine_interconnect
$(MAKE) -C ../../../library/spi_engine/spi_engine_offload
$(MAKE) -C ../../../library/util_i2c_mixer
$(MAKE) -C ../../../library/util_pulse_gen
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,89 +1,27 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_bd.tcl
PROJECT_NAME := adrv9361z7035_ccbob_cmos
M_DEPS += ../common/ccbob_constr.xdc
M_DEPS += ../common/ccbob_bd.tcl
M_DEPS += ../common/adrv9361z7035_constr_cmos.xdc
M_DEPS += ../common/adrv9361z7035_constr.xdc
M_DEPS += ../common/adrv9361z7035_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr
M_DEPS += ../../../library/xilinx/axi_xcvrlb/axi_xcvrlb.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += axi_gpreg
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
LIB_DEPS += xilinx/axi_xcvrlb
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adrv9361z7035_ccbob_cmos.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_gpreg clean
$(MAKE) -C ../../../library/xilinx/axi_xcvrlb clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
adrv9361z7035_ccbob_cmos.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adrv9361z7035_ccbob_cmos_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_gpreg
$(MAKE) -C ../../../library/xilinx/axi_xcvrlb
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,89 +1,27 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_bd.tcl
PROJECT_NAME := adrv9361z7035_ccbob_lvds
M_DEPS += ../common/ccbob_constr.xdc
M_DEPS += ../common/ccbob_bd.tcl
M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc
M_DEPS += ../common/adrv9361z7035_constr.xdc
M_DEPS += ../common/adrv9361z7035_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr
M_DEPS += ../../../library/xilinx/axi_xcvrlb/axi_xcvrlb.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += axi_gpreg
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
LIB_DEPS += xilinx/axi_xcvrlb
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adrv9361z7035_ccbob_lvds.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_gpreg clean
$(MAKE) -C ../../../library/xilinx/axi_xcvrlb clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
adrv9361z7035_ccbob_lvds.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adrv9361z7035_ccbob_lvds_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_gpreg
$(MAKE) -C ../../../library/xilinx/axi_xcvrlb
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,87 +1,27 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_bd.tcl
PROJECT_NAME := adrv9361z7035_ccbox_lvds
M_DEPS += ../common/ccbox_constr.xdc
M_DEPS += ../common/ccbox_bd.tcl
M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc
M_DEPS += ../common/adrv9361z7035_constr.xdc
M_DEPS += ../common/adrv9361z7035_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_adl5904_rst.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += axi_i2s_adi
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adrv9361z7035_ccbox_lvds.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
adrv9361z7035_ccbox_lvds.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adrv9361z7035_ccbox_lvds_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,102 +1,32 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_bd.tcl
PROJECT_NAME := adrv9361z7035_ccfmc_lvds
M_DEPS += ../common/ccfmc_constr.xdc
M_DEPS += ../common/ccfmc_bd.tcl
M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc
M_DEPS += ../common/adrv9361z7035_constr.xdc
M_DEPS += ../common/adrv9361z7035_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_adl5904_rst.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/xilinx/axi_xcvrlb/axi_xcvrlb.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_gpreg
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
LIB_DEPS += xilinx/axi_xcvrlb
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adrv9361z7035_ccfmc_lvds.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_gpreg clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/xilinx/axi_xcvrlb clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
adrv9361z7035_ccfmc_lvds.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adrv9361z7035_ccfmc_lvds_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_gpreg
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/xilinx/axi_xcvrlb
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,83 +1,25 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_bd.tcl
PROJECT_NAME := adrv9361z7035_ccpci_lvds
M_DEPS += ../common/ccpci_constr.xdc
M_DEPS += ../common/ccpci_bd.tcl
M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc
M_DEPS += ../common/adrv9361z7035_constr.xdc
M_DEPS += ../common/adrv9361z7035_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adrv9361z7035_ccpci_lvds.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
adrv9361z7035_ccpci_lvds.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adrv9361z7035_ccpci_lvds_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,86 +1,26 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_bd.tcl
PROJECT_NAME := adrv9361z7035_ccusb_lvds
M_DEPS += ../common/ccusb_constr.xdc
M_DEPS += ../common/ccusb_bd.tcl
M_DEPS += ../common/adrv9361z7035_constr_lvds.xdc
M_DEPS += ../common/adrv9361z7035_constr.xdc
M_DEPS += ../common/adrv9361z7035_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_usb_fx3/axi_usb_fx3.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += axi_usb_fx3
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adrv9361z7035_ccusb_lvds.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_usb_fx3 clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
adrv9361z7035_ccusb_lvds.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adrv9361z7035_ccusb_lvds_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_usb_fx3
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,86 +1,26 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_bd.tcl
PROJECT_NAME := adrv9364z7020_ccbob_cmos
M_DEPS += ../common/ccbob_constr.xdc
M_DEPS += ../common/ccbob_bd.tcl
M_DEPS += ../common/adrv9364z7020_constr_cmos.xdc
M_DEPS += ../common/adrv9364z7020_constr.xdc
M_DEPS += ../common/adrv9364z7020_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += axi_gpreg
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adrv9364z7020_ccbob_cmos.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_gpreg clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
adrv9364z7020_ccbob_cmos.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adrv9364z7020_ccbob_cmos_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_gpreg
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,86 +1,26 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_bd.tcl
PROJECT_NAME := adrv9364z7020_ccbob_lvds
M_DEPS += ../common/ccbob_constr.xdc
M_DEPS += ../common/ccbob_bd.tcl
M_DEPS += ../common/adrv9364z7020_constr_lvds.xdc
M_DEPS += ../common/adrv9364z7020_constr.xdc
M_DEPS += ../common/adrv9364z7020_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += axi_gpreg
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adrv9364z7020_ccbob_lvds.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_gpreg clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
adrv9364z7020_ccbob_lvds.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adrv9364z7020_ccbob_lvds_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_gpreg
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,87 +1,27 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_bd.tcl
PROJECT_NAME := adrv9364z7020_ccbox_lvds
M_DEPS += ../common/ccbox_constr.xdc
M_DEPS += ../common/ccbox_bd.tcl
M_DEPS += ../common/adrv9364z7020_constr_lvds.xdc
M_DEPS += ../common/adrv9364z7020_constr.xdc
M_DEPS += ../common/adrv9364z7020_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_adl5904_rst.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += axi_i2s_adi
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adrv9364z7020_ccbox_lvds.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
adrv9364z7020_ccbox_lvds.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adrv9364z7020_ccbox_lvds_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,86 +1,26 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_bd.tcl
PROJECT_NAME := adrv9364z7020_ccusb_lvds
M_DEPS += ../common/ccusb_constr.xdc
M_DEPS += ../common/ccusb_bd.tcl
M_DEPS += ../common/adrv9364z7020_constr_lvds.xdc
M_DEPS += ../common/adrv9364z7020_constr.xdc
M_DEPS += ../common/adrv9364z7020_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_usb_fx3/axi_usb_fx3.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += axi_usb_fx3
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adrv9364z7020_ccusb_lvds.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_usb_fx3 clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
adrv9364z7020_ccusb_lvds.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adrv9364z7020_ccusb_lvds_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_usb_fx3
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,24 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
ifeq ($(NIOS2_MMU),)
NIOS2_MMU := 1
endif
PROJECT_NAME := adrv9371x_a10gx
export ALT_NIOS_MMU_ENABLED := $(NIOS2_MMU)
M_DEPS += system_top.v
M_DEPS += system_qsys.tcl
M_DEPS += system_project.tcl
M_DEPS += system_constr.sdc
M_DEPS += ../common/adrv9371x_qsys.tcl
M_DEPS += ../../scripts/adi_tquest.tcl
M_DEPS += ../../scripts/adi_project_alt.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/altera/dacfifo_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl
@ -109,57 +96,4 @@ M_DEPS += ../../../library/util_upack/util_upack_dmx.v
M_DEPS += ../../../library/util_upack/util_upack_dsf.v
M_DEPS += ../../../library/util_upack/util_upack_hw.tcl
M_ALTERA := quartus_sh --64bit -t
M_FLIST += *.log
M_FLIST += *_INFO.txt
M_FLIST += *_dump.txt
M_FLIST += db
M_FLIST += *.asm.rpt
M_FLIST += *.done
M_FLIST += *.eda.rpt
M_FLIST += *.fit.*
M_FLIST += *.map.*
M_FLIST += *.sta.*
M_FLIST += *.qsf
M_FLIST += *.qpf
M_FLIST += *.qws
M_FLIST += *.sof
M_FLIST += *.cdf
M_FLIST += *.sld
M_FLIST += *.qdf
M_FLIST += hc_output
M_FLIST += system_bd
M_FLIST += hps_isw_handoff
M_FLIST += hps_sdram_*.csv
M_FLIST += *ddr3_*.csv
M_FLIST += incremental_db
M_FLIST += reconfig_mif
M_FLIST += *.sopcinfo
M_FLIST += *.jdi
M_FLIST += *.pin
M_FLIST += *_summary.csv
M_FLIST += *.dpf
.PHONY: all clean clean-all
all: adrv9371x_a10gx.sof
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
adrv9371x_a10gx.sof: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_ALTERA) system_project.tcl >> adrv9371x_a10gx_quartus.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/project-altera.mk

View File

@ -1,24 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
ifeq ($(NIOS2_MMU),)
NIOS2_MMU := 1
endif
PROJECT_NAME := adrv9371x_a10soc
export ALT_NIOS_MMU_ENABLED := $(NIOS2_MMU)
M_DEPS += system_top.v
M_DEPS += system_qsys.tcl
M_DEPS += system_project.tcl
M_DEPS += system_constr.sdc
M_DEPS += ../common/adrv9371x_qsys.tcl
M_DEPS += ../../scripts/adi_tquest.tcl
M_DEPS += ../../scripts/adi_project_alt.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl
M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl
M_DEPS += ../../common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl
@ -116,57 +103,4 @@ M_DEPS += ../../../library/util_upack/util_upack_dmx.v
M_DEPS += ../../../library/util_upack/util_upack_dsf.v
M_DEPS += ../../../library/util_upack/util_upack_hw.tcl
M_ALTERA := quartus_sh --64bit -t
M_FLIST += *.log
M_FLIST += *_INFO.txt
M_FLIST += *_dump.txt
M_FLIST += db
M_FLIST += *.asm.rpt
M_FLIST += *.done
M_FLIST += *.eda.rpt
M_FLIST += *.fit.*
M_FLIST += *.map.*
M_FLIST += *.sta.*
M_FLIST += *.qsf
M_FLIST += *.qpf
M_FLIST += *.qws
M_FLIST += *.sof
M_FLIST += *.cdf
M_FLIST += *.sld
M_FLIST += *.qdf
M_FLIST += hc_output
M_FLIST += system_bd
M_FLIST += hps_isw_handoff
M_FLIST += hps_sdram_*.csv
M_FLIST += *ddr3_*.csv
M_FLIST += incremental_db
M_FLIST += reconfig_mif
M_FLIST += *.sopcinfo
M_FLIST += *.jdi
M_FLIST += *.pin
M_FLIST += *_summary.csv
M_FLIST += *.dpf
.PHONY: all clean clean-all
all: adrv9371x_a10soc.sof
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
adrv9371x_a10soc.sof: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_ALTERA) system_project.tcl >> adrv9371x_a10soc_quartus.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/project-altera.mk

View File

@ -1,18 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := adrv9371x_kcu105
M_DEPS += ../common/adrv9371x_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl
M_DEPS += ../../common/kcu105/kcu105_system_lutram_constr.xdc
@ -20,78 +13,18 @@ M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc
M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/axi_ad9371/axi_ad9371.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9371
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/axi_jesd204_tx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += jesd204/jesd204_tx
LIB_DEPS += util_cpack
LIB_DEPS += util_dacfifo
LIB_DEPS += util_upack
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adrv9371x_kcu105.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9371 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_tx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_dacfifo clean
$(MAKE) -C ../../../library/util_upack clean
adrv9371x_kcu105.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adrv9371x_kcu105_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9371
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_tx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_dacfifo
$(MAKE) -C ../../../library/util_upack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,102 +1,31 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := adrv9371x_zc706
M_DEPS += ../common/adrv9371x_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_dacfifo_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/axi_ad9371/axi_ad9371.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/xilinx/axi_dacfifo/axi_dacfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9371
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/axi_jesd204_tx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += jesd204/jesd204_tx
LIB_DEPS += util_cpack
LIB_DEPS += util_upack
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/axi_dacfifo
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adrv9371x_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9371 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/xilinx/axi_dacfifo clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_tx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_upack clean
adrv9371x_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adrv9371x_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9371
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/xilinx/axi_dacfifo
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_tx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_upack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,95 +1,28 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := adrv9371x_zcu102
M_DEPS += ../common/adrv9371x_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/axi_ad9371/axi_ad9371.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9371
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/axi_jesd204_tx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += jesd204/jesd204_tx
LIB_DEPS += util_cpack
LIB_DEPS += util_dacfifo
LIB_DEPS += util_upack
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adrv9371x_zcu102.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9371 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_tx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_dacfifo clean
$(MAKE) -C ../../../library/util_upack clean
adrv9371x_zcu102.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adrv9371x_zcu102_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9371
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_tx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_dacfifo
$(MAKE) -C ../../../library/util_upack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,102 +1,31 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := adrv9379_zc706
M_DEPS += ../common/adrv9379_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_dacfifo_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/axi_ad9379/axi_ad9379.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/xilinx/axi_dacfifo/axi_dacfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9379
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/axi_jesd204_tx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += jesd204/jesd204_tx
LIB_DEPS += util_cpack
LIB_DEPS += util_upack
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/axi_dacfifo
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adrv9379_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9379 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/xilinx/axi_dacfifo clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_tx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_upack clean
adrv9379_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adrv9379_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9379
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/xilinx/axi_dacfifo
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_tx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_upack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,67 +1,19 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
PROJECT_NAME := adv7511_ac701
M_DEPS += ../../common/ac701/ac701_system_mig.prj
M_DEPS += ../../common/ac701/ac701_system_constr.xdc
M_DEPS += ../../common/ac701/ac701_system_bd.tcl
M_DEPS += ../../adv7511/common/adv7511_bd.tcl
M_DEPS += ../../adv7511/ac701/system_constr.xdc
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adv7511_ac701.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
adv7511_ac701.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_ac701_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,67 +1,18 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
PROJECT_NAME := adv7511_kc705
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../common/kc705/kc705_system_constr.xdc
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../adv7511/common/adv7511_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adv7511_kc705.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
adv7511_kc705.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_kc705_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,66 +1,17 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := adv7511_kcu105
M_DEPS += ../common/adv7511_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc
M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adv7511_kcu105.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
adv7511_kcu105.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_kcu105_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,68 +1,18 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
PROJECT_NAME := adv7511_mitx045
M_DEPS += ../../common/mitx045/mitx045_system_ps7.tcl
M_DEPS += ../../common/mitx045/mitx045_system_constr.xdc
M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_spdif_tx
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adv7511_mitx045.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
adv7511_mitx045.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_mitx045_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,67 +1,19 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
PROJECT_NAME := adv7511_vc707
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../common/vc707/vc707_system_constr.xdc
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../adv7511/vc707/system_constr.xdc
M_DEPS += ../../adv7511/common/adv7511_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adv7511_vc707.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
adv7511_vc707.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_vc707_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,65 +1,16 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
PROJECT_NAME := adv7511_zc702
M_DEPS += ../../common/zc702/zc702_system_constr.xdc
M_DEPS += ../../common/zc702/zc702_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adv7511_zc702.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
adv7511_zc702.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_zc702_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,64 +1,16 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
PROJECT_NAME := adv7511_zc706
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adv7511_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
adv7511_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,70 +1,18 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
PROJECT_NAME := adv7511_zed
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_i2c_mixer
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib adv7511_zed.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/util_i2c_mixer clean
adv7511_zed.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> adv7511_zed_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/util_i2c_mixer
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,24 +1,11 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
ifeq ($(NIOS2_MMU),)
NIOS2_MMU := 1
endif
PROJECT_NAME := arradio_c5soc
export ALT_NIOS_MMU_ENABLED := $(NIOS2_MMU)
M_DEPS += system_top.v
M_DEPS += system_qsys.tcl
M_DEPS += system_project.tcl
M_DEPS += system_constr.sdc
M_DEPS += ../common/arradio_qsys.tcl
M_DEPS += ../../scripts/adi_tquest.tcl
M_DEPS += ../../scripts/adi_project_alt.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/c5soc/c5soc_system_qsys.tcl
M_DEPS += ../../common/c5soc/c5soc_system_assign.tcl
M_DEPS += ../../../library/altera/common/ad_dcfilter.v
@ -106,57 +93,4 @@ M_DEPS += ../../../library/util_wfifo/util_wfifo.v
M_DEPS += ../../../library/util_wfifo/util_wfifo_constr.sdc
M_DEPS += ../../../library/util_wfifo/util_wfifo_hw.tcl
M_ALTERA := quartus_sh --64bit -t
M_FLIST += *.log
M_FLIST += *_INFO.txt
M_FLIST += *_dump.txt
M_FLIST += db
M_FLIST += *.asm.rpt
M_FLIST += *.done
M_FLIST += *.eda.rpt
M_FLIST += *.fit.*
M_FLIST += *.map.*
M_FLIST += *.sta.*
M_FLIST += *.qsf
M_FLIST += *.qpf
M_FLIST += *.qws
M_FLIST += *.sof
M_FLIST += *.cdf
M_FLIST += *.sld
M_FLIST += *.qdf
M_FLIST += hc_output
M_FLIST += system_bd
M_FLIST += hps_isw_handoff
M_FLIST += hps_sdram_*.csv
M_FLIST += *ddr3_*.csv
M_FLIST += incremental_db
M_FLIST += reconfig_mif
M_FLIST += *.sopcinfo
M_FLIST += *.jdi
M_FLIST += *.pin
M_FLIST += *_summary.csv
M_FLIST += *.dpf
.PHONY: all clean clean-all
all: arradio_c5soc.sof
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
arradio_c5soc.sof: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_ALTERA) system_project.tcl >> arradio_c5soc_quartus.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/project-altera.mk

View File

@ -1,91 +1,26 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := cn0363_microzed
M_DEPS += ../common/cn0363_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/microzed/microzed_system_ps7.tcl
M_DEPS += ../../common/microzed/microzed_system_constr.xdc
M_DEPS += ../../common/microzed/microzed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_generic_adc/axi_generic_adc.xpr
M_DEPS += ../../../library/spi_engine/axi_spi_engine/axi_spi_engine.xpr
M_DEPS += ../../../library/cn0363/cn0363_dma_sequencer/cn0363_dma_sequencer.xpr
M_DEPS += ../../../library/cn0363/cn0363_phase_data_sync/cn0363_phase_data_sync.xpr
M_DEPS += ../../../library/cordic_demod/cordic_demod.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_execution/spi_engine_execution.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_interconnect/spi_engine_interconnect.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_offload/spi_engine_offload.xpr
M_DEPS += ../../../library/util_axis_resize/util_axis_resize.xpr
M_DEPS += ../../../library/util_sigma_delta_spi/util_sigma_delta_spi.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_dmac
LIB_DEPS += axi_generic_adc
LIB_DEPS += cn0363/cn0363_dma_sequencer
LIB_DEPS += cn0363/cn0363_phase_data_sync
LIB_DEPS += cordic_demod
LIB_DEPS += spi_engine/axi_spi_engine
LIB_DEPS += spi_engine/spi_engine_execution
LIB_DEPS += spi_engine/spi_engine_interconnect
LIB_DEPS += spi_engine/spi_engine_offload
LIB_DEPS += util_axis_resize
LIB_DEPS += util_sigma_delta_spi
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib cn0363_microzed.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_generic_adc clean
$(MAKE) -C ../../../library/spi_engine/axi_spi_engine clean
$(MAKE) -C ../../../library/cn0363/cn0363_dma_sequencer clean
$(MAKE) -C ../../../library/cn0363/cn0363_phase_data_sync clean
$(MAKE) -C ../../../library/cordic_demod clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_execution clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_interconnect clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_offload clean
$(MAKE) -C ../../../library/util_axis_resize clean
$(MAKE) -C ../../../library/util_sigma_delta_spi clean
cn0363_microzed.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> cn0363_microzed_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_generic_adc
$(MAKE) -C ../../../library/spi_engine/axi_spi_engine
$(MAKE) -C ../../../library/cn0363/cn0363_dma_sequencer
$(MAKE) -C ../../../library/cn0363/cn0363_phase_data_sync
$(MAKE) -C ../../../library/cordic_demod
$(MAKE) -C ../../../library/spi_engine/spi_engine_execution
$(MAKE) -C ../../../library/spi_engine/spi_engine_interconnect
$(MAKE) -C ../../../library/spi_engine/spi_engine_offload
$(MAKE) -C ../../../library/util_axis_resize
$(MAKE) -C ../../../library/util_sigma_delta_spi
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,105 +1,30 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := cn0363_zed
M_DEPS += ../common/cn0363_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_generic_adc/axi_generic_adc.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/spi_engine/axi_spi_engine/axi_spi_engine.xpr
M_DEPS += ../../../library/cn0363/cn0363_dma_sequencer/cn0363_dma_sequencer.xpr
M_DEPS += ../../../library/cn0363/cn0363_phase_data_sync/cn0363_phase_data_sync.xpr
M_DEPS += ../../../library/cordic_demod/cordic_demod.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_execution/spi_engine_execution.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_interconnect/spi_engine_interconnect.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_offload/spi_engine_offload.xpr
M_DEPS += ../../../library/util_axis_resize/util_axis_resize.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/util_sigma_delta_spi/util_sigma_delta_spi.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_generic_adc
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_spdif_tx
LIB_DEPS += cn0363/cn0363_dma_sequencer
LIB_DEPS += cn0363/cn0363_phase_data_sync
LIB_DEPS += cordic_demod
LIB_DEPS += spi_engine/axi_spi_engine
LIB_DEPS += spi_engine/spi_engine_execution
LIB_DEPS += spi_engine/spi_engine_interconnect
LIB_DEPS += spi_engine/spi_engine_offload
LIB_DEPS += util_axis_resize
LIB_DEPS += util_i2c_mixer
LIB_DEPS += util_sigma_delta_spi
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib cn0363_zed.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_generic_adc clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/spi_engine/axi_spi_engine clean
$(MAKE) -C ../../../library/cn0363/cn0363_dma_sequencer clean
$(MAKE) -C ../../../library/cn0363/cn0363_phase_data_sync clean
$(MAKE) -C ../../../library/cordic_demod clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_execution clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_interconnect clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_offload clean
$(MAKE) -C ../../../library/util_axis_resize clean
$(MAKE) -C ../../../library/util_i2c_mixer clean
$(MAKE) -C ../../../library/util_sigma_delta_spi clean
cn0363_zed.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> cn0363_zed_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_generic_adc
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/spi_engine/axi_spi_engine
$(MAKE) -C ../../../library/cn0363/cn0363_dma_sequencer
$(MAKE) -C ../../../library/cn0363/cn0363_phase_data_sync
$(MAKE) -C ../../../library/cordic_demod
$(MAKE) -C ../../../library/spi_engine/spi_engine_execution
$(MAKE) -C ../../../library/spi_engine/spi_engine_interconnect
$(MAKE) -C ../../../library/spi_engine/spi_engine_offload
$(MAKE) -C ../../../library/util_axis_resize
$(MAKE) -C ../../../library/util_i2c_mixer
$(MAKE) -C ../../../library/util_sigma_delta_spi
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,87 +1,26 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := daq1_zc706
M_DEPS += ../common/daq1_spi.v
M_DEPS += ../common/daq1_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/axi_ad9684/axi_ad9684.xpr
M_DEPS += ../../../library/xilinx/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9122
LIB_DEPS += axi_ad9684
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_cpack
LIB_DEPS += util_upack
LIB_DEPS += xilinx/axi_adcfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib daq1_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9122 clean
$(MAKE) -C ../../../library/axi_ad9684 clean
$(MAKE) -C ../../../library/xilinx/axi_adcfifo clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_upack clean
daq1_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq1_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9122
$(MAKE) -C ../../../library/axi_ad9684
$(MAKE) -C ../../../library/xilinx/axi_adcfifo
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_upack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,92 +1,27 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := daq1_zed
M_DEPS += ../common/daq1_spi.v
M_DEPS += ../common/daq1_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/axi_ad9684/axi_ad9684.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9122
LIB_DEPS += axi_ad9684
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_adcfifo
LIB_DEPS += util_cpack
LIB_DEPS += util_i2c_mixer
LIB_DEPS += util_upack
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib daq1_zed.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9122 clean
$(MAKE) -C ../../../library/axi_ad9684 clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/util_adcfifo clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_i2c_mixer clean
$(MAKE) -C ../../../library/util_upack clean
daq1_zed.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq1_zed_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9122
$(MAKE) -C ../../../library/axi_ad9684
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/util_adcfifo
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_i2c_mixer
$(MAKE) -C ../../../library/util_upack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,25 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
ifeq ($(NIOS2_MMU),)
NIOS2_MMU := 1
endif
PROJECT_NAME := daq2_a10gx
export ALT_NIOS_MMU_ENABLED := $(NIOS2_MMU)
M_DEPS += system_top.v
M_DEPS += system_qsys.tcl
M_DEPS += system_project.tcl
M_DEPS += system_constr.sdc
M_DEPS += ../common/daq2_spi.v
M_DEPS += ../common/daq2_qsys.tcl
M_DEPS += ../../scripts/adi_tquest.tcl
M_DEPS += ../../scripts/adi_project_alt.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/altera/dacfifo_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl
@ -116,57 +103,4 @@ M_DEPS += ../../../library/util_upack/util_upack_dmx.v
M_DEPS += ../../../library/util_upack/util_upack_dsf.v
M_DEPS += ../../../library/util_upack/util_upack_hw.tcl
M_ALTERA := quartus_sh --64bit -t
M_FLIST += *.log
M_FLIST += *_INFO.txt
M_FLIST += *_dump.txt
M_FLIST += db
M_FLIST += *.asm.rpt
M_FLIST += *.done
M_FLIST += *.eda.rpt
M_FLIST += *.fit.*
M_FLIST += *.map.*
M_FLIST += *.sta.*
M_FLIST += *.qsf
M_FLIST += *.qpf
M_FLIST += *.qws
M_FLIST += *.sof
M_FLIST += *.cdf
M_FLIST += *.sld
M_FLIST += *.qdf
M_FLIST += hc_output
M_FLIST += system_bd
M_FLIST += hps_isw_handoff
M_FLIST += hps_sdram_*.csv
M_FLIST += *ddr3_*.csv
M_FLIST += incremental_db
M_FLIST += reconfig_mif
M_FLIST += *.sopcinfo
M_FLIST += *.jdi
M_FLIST += *.pin
M_FLIST += *_summary.csv
M_FLIST += *.dpf
.PHONY: all clean clean-all
all: daq2_a10gx.sof
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
daq2_a10gx.sof: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_ALTERA) system_project.tcl >> daq2_a10gx_quartus.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/project-altera.mk

View File

@ -1,25 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
ifeq ($(NIOS2_MMU),)
NIOS2_MMU := 1
endif
PROJECT_NAME := daq2_a10soc
export ALT_NIOS_MMU_ENABLED := $(NIOS2_MMU)
M_DEPS += system_top.v
M_DEPS += system_qsys.tcl
M_DEPS += system_project.tcl
M_DEPS += system_constr.sdc
M_DEPS += ../common/daq2_spi.v
M_DEPS += ../common/daq2_qsys.tcl
M_DEPS += ../../scripts/adi_tquest.tcl
M_DEPS += ../../scripts/adi_project_alt.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl
M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl
M_DEPS += ../../common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl
@ -123,57 +110,4 @@ M_DEPS += ../../../library/util_upack/util_upack_dmx.v
M_DEPS += ../../../library/util_upack/util_upack_dsf.v
M_DEPS += ../../../library/util_upack/util_upack_hw.tcl
M_ALTERA := quartus_sh --64bit -t
M_FLIST += *.log
M_FLIST += *_INFO.txt
M_FLIST += *_dump.txt
M_FLIST += db
M_FLIST += *.asm.rpt
M_FLIST += *.done
M_FLIST += *.eda.rpt
M_FLIST += *.fit.*
M_FLIST += *.map.*
M_FLIST += *.sta.*
M_FLIST += *.qsf
M_FLIST += *.qpf
M_FLIST += *.qws
M_FLIST += *.sof
M_FLIST += *.cdf
M_FLIST += *.sld
M_FLIST += *.qdf
M_FLIST += hc_output
M_FLIST += system_bd
M_FLIST += hps_isw_handoff
M_FLIST += hps_sdram_*.csv
M_FLIST += *ddr3_*.csv
M_FLIST += incremental_db
M_FLIST += reconfig_mif
M_FLIST += *.sopcinfo
M_FLIST += *.jdi
M_FLIST += *.pin
M_FLIST += *_summary.csv
M_FLIST += *.dpf
.PHONY: all clean clean-all
all: daq2_a10soc.sof
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
daq2_a10soc.sof: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_ALTERA) system_project.tcl >> daq2_a10soc_quartus.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/project-altera.mk

View File

@ -1,19 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := daq2_kc705
M_DEPS += ../common/daq2_spi.v
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
@ -21,81 +14,19 @@ M_DEPS += ../../common/kc705/kc705_system_constr.xdc
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9144
LIB_DEPS += axi_ad9680
LIB_DEPS += axi_dmac
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/axi_jesd204_tx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += jesd204/jesd204_tx
LIB_DEPS += util_adcfifo
LIB_DEPS += util_cpack
LIB_DEPS += util_dacfifo
LIB_DEPS += util_upack
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib daq2_kc705.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9144 clean
$(MAKE) -C ../../../library/axi_ad9680 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_tx clean
$(MAKE) -C ../../../library/util_adcfifo clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_dacfifo clean
$(MAKE) -C ../../../library/util_upack clean
daq2_kc705.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq2_kc705_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9144
$(MAKE) -C ../../../library/axi_ad9680
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_tx
$(MAKE) -C ../../../library/util_adcfifo
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_dacfifo
$(MAKE) -C ../../../library/util_upack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,100 +1,31 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := daq2_kcu105
M_DEPS += ../common/daq2_spi.v
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc
M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9144
LIB_DEPS += axi_ad9680
LIB_DEPS += axi_dmac
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/axi_jesd204_tx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += jesd204/jesd204_tx
LIB_DEPS += util_adcfifo
LIB_DEPS += util_cpack
LIB_DEPS += util_dacfifo
LIB_DEPS += util_upack
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib daq2_kcu105.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9144 clean
$(MAKE) -C ../../../library/axi_ad9680 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_tx clean
$(MAKE) -C ../../../library/util_adcfifo clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_dacfifo clean
$(MAKE) -C ../../../library/util_upack clean
daq2_kcu105.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq2_kcu105_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9144
$(MAKE) -C ../../../library/axi_ad9680
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_tx
$(MAKE) -C ../../../library/util_adcfifo
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_dacfifo
$(MAKE) -C ../../../library/util_upack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,19 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := daq2_vc707
M_DEPS += ../common/daq2_spi.v
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
@ -21,81 +14,19 @@ M_DEPS += ../../common/vc707/vc707_system_constr.xdc
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9144
LIB_DEPS += axi_ad9680
LIB_DEPS += axi_dmac
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/axi_jesd204_tx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += jesd204/jesd204_tx
LIB_DEPS += util_adcfifo
LIB_DEPS += util_cpack
LIB_DEPS += util_dacfifo
LIB_DEPS += util_upack
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib daq2_vc707.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9144 clean
$(MAKE) -C ../../../library/axi_ad9680 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_tx clean
$(MAKE) -C ../../../library/util_adcfifo clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_dacfifo clean
$(MAKE) -C ../../../library/util_upack clean
daq2_vc707.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq2_vc707_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9144
$(MAKE) -C ../../../library/axi_ad9680
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_tx
$(MAKE) -C ../../../library/util_adcfifo
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_dacfifo
$(MAKE) -C ../../../library/util_upack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,19 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := daq2_zc706
M_DEPS += ../common/daq2_spi.v
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
@ -21,90 +14,22 @@ M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/xilinx/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9144
LIB_DEPS += axi_ad9680
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/axi_jesd204_tx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += jesd204/jesd204_tx
LIB_DEPS += util_cpack
LIB_DEPS += util_dacfifo
LIB_DEPS += util_upack
LIB_DEPS += xilinx/axi_adcfifo
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib daq2_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9144 clean
$(MAKE) -C ../../../library/axi_ad9680 clean
$(MAKE) -C ../../../library/xilinx/axi_adcfifo clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_tx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_dacfifo clean
$(MAKE) -C ../../../library/util_upack clean
daq2_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq2_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9144
$(MAKE) -C ../../../library/axi_ad9680
$(MAKE) -C ../../../library/xilinx/axi_adcfifo
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_tx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_dacfifo
$(MAKE) -C ../../../library/util_upack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,100 +1,31 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := daq2_zcu102
M_DEPS += ../common/daq2_spi.v
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9144
LIB_DEPS += axi_ad9680
LIB_DEPS += axi_dmac
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/axi_jesd204_tx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += jesd204/jesd204_tx
LIB_DEPS += util_adcfifo
LIB_DEPS += util_cpack
LIB_DEPS += util_dacfifo
LIB_DEPS += util_upack
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib daq2_zcu102.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9144 clean
$(MAKE) -C ../../../library/axi_ad9680 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_tx clean
$(MAKE) -C ../../../library/util_adcfifo clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_dacfifo clean
$(MAKE) -C ../../../library/util_upack clean
daq2_zcu102.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq2_zcu102_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9144
$(MAKE) -C ../../../library/axi_ad9680
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_tx
$(MAKE) -C ../../../library/util_adcfifo
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_dacfifo
$(MAKE) -C ../../../library/util_upack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,25 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
ifeq ($(NIOS2_MMU),)
NIOS2_MMU := 1
endif
PROJECT_NAME := daq3_a10gx
export ALT_NIOS_MMU_ENABLED := $(NIOS2_MMU)
M_DEPS += system_top.v
M_DEPS += system_qsys.tcl
M_DEPS += system_project.tcl
M_DEPS += system_constr.sdc
M_DEPS += ../common/daq3_spi.v
M_DEPS += ../common/daq3_qsys.tcl
M_DEPS += ../../scripts/adi_tquest.tcl
M_DEPS += ../../scripts/adi_project_alt.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/altera/dacfifo_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl
@ -116,57 +103,4 @@ M_DEPS += ../../../library/util_upack/util_upack_dmx.v
M_DEPS += ../../../library/util_upack/util_upack_dsf.v
M_DEPS += ../../../library/util_upack/util_upack_hw.tcl
M_ALTERA := quartus_sh --64bit -t
M_FLIST += *.log
M_FLIST += *_INFO.txt
M_FLIST += *_dump.txt
M_FLIST += db
M_FLIST += *.asm.rpt
M_FLIST += *.done
M_FLIST += *.eda.rpt
M_FLIST += *.fit.*
M_FLIST += *.map.*
M_FLIST += *.sta.*
M_FLIST += *.qsf
M_FLIST += *.qpf
M_FLIST += *.qws
M_FLIST += *.sof
M_FLIST += *.cdf
M_FLIST += *.sld
M_FLIST += *.qdf
M_FLIST += hc_output
M_FLIST += system_bd
M_FLIST += hps_isw_handoff
M_FLIST += hps_sdram_*.csv
M_FLIST += *ddr3_*.csv
M_FLIST += incremental_db
M_FLIST += reconfig_mif
M_FLIST += *.sopcinfo
M_FLIST += *.jdi
M_FLIST += *.pin
M_FLIST += *_summary.csv
M_FLIST += *.dpf
.PHONY: all clean clean-all
all: daq3_a10gx.sof
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
daq3_a10gx.sof: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_ALTERA) system_project.tcl >> daq3_a10gx_quartus.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/project-altera.mk

View File

@ -1,100 +1,31 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := daq3_kcu105
M_DEPS += ../common/daq3_spi.v
M_DEPS += ../common/daq3_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc
M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9152
LIB_DEPS += axi_ad9680
LIB_DEPS += axi_dmac
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/axi_jesd204_tx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += jesd204/jesd204_tx
LIB_DEPS += util_adcfifo
LIB_DEPS += util_cpack
LIB_DEPS += util_dacfifo
LIB_DEPS += util_upack
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib daq3_kcu105.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9152 clean
$(MAKE) -C ../../../library/axi_ad9680 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_tx clean
$(MAKE) -C ../../../library/util_adcfifo clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_dacfifo clean
$(MAKE) -C ../../../library/util_upack clean
daq3_kcu105.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq3_kcu105_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9152
$(MAKE) -C ../../../library/axi_ad9680
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_tx
$(MAKE) -C ../../../library/util_adcfifo
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_dacfifo
$(MAKE) -C ../../../library/util_upack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,19 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := daq3_zc706
M_DEPS += ../common/daq3_spi.v
M_DEPS += ../common/daq3_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
@ -21,90 +14,22 @@ M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/xilinx/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9152
LIB_DEPS += axi_ad9680
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/axi_jesd204_tx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += jesd204/jesd204_tx
LIB_DEPS += util_cpack
LIB_DEPS += util_dacfifo
LIB_DEPS += util_upack
LIB_DEPS += xilinx/axi_adcfifo
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib daq3_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9152 clean
$(MAKE) -C ../../../library/axi_ad9680 clean
$(MAKE) -C ../../../library/xilinx/axi_adcfifo clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_tx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_dacfifo clean
$(MAKE) -C ../../../library/util_upack clean
daq3_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq3_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9152
$(MAKE) -C ../../../library/axi_ad9680
$(MAKE) -C ../../../library/xilinx/axi_adcfifo
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_tx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_dacfifo
$(MAKE) -C ../../../library/util_upack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,100 +1,31 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := daq3_zcu102
M_DEPS += ../common/daq3_spi.v
M_DEPS += ../common/daq3_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9152
LIB_DEPS += axi_ad9680
LIB_DEPS += axi_dmac
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/axi_jesd204_tx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += jesd204/jesd204_tx
LIB_DEPS += util_adcfifo
LIB_DEPS += util_cpack
LIB_DEPS += util_dacfifo
LIB_DEPS += util_upack
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib daq3_zcu102.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9152 clean
$(MAKE) -C ../../../library/axi_ad9680 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_tx clean
$(MAKE) -C ../../../library/util_adcfifo clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_dacfifo clean
$(MAKE) -C ../../../library/util_upack clean
daq3_zcu102.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq3_zcu102_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9152
$(MAKE) -C ../../../library/axi_ad9680
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_tx
$(MAKE) -C ../../../library/util_adcfifo
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_dacfifo
$(MAKE) -C ../../../library/util_upack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,23 +1,10 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
ifeq ($(NIOS2_MMU),)
NIOS2_MMU := 1
endif
PROJECT_NAME := de10nano
export ALT_NIOS_MMU_ENABLED := $(NIOS2_MMU)
M_DEPS += system_top.v
M_DEPS += system_qsys.tcl
M_DEPS += system_project.tcl
M_DEPS += system_constr.sdc
M_DEPS += ../scripts/adi_tquest.tcl
M_DEPS += ../scripts/adi_project_alt.tcl
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../../library/altera/common/ad_mul.v
M_DEPS += ../../library/altera/common/up_clock_mon_constr.sdc
M_DEPS += ../../library/altera/common/up_rst_constr.sdc
@ -71,57 +58,4 @@ M_DEPS += ../../library/util_axis_resize/util_axis_resize.v
M_DEPS += ../../library/util_cdc/sync_bits.v
M_DEPS += ../../library/util_cdc/sync_gray.v
M_ALTERA := quartus_sh --64bit -t
M_FLIST += *.log
M_FLIST += *_INFO.txt
M_FLIST += *_dump.txt
M_FLIST += db
M_FLIST += *.asm.rpt
M_FLIST += *.done
M_FLIST += *.eda.rpt
M_FLIST += *.fit.*
M_FLIST += *.map.*
M_FLIST += *.sta.*
M_FLIST += *.qsf
M_FLIST += *.qpf
M_FLIST += *.qws
M_FLIST += *.sof
M_FLIST += *.cdf
M_FLIST += *.sld
M_FLIST += *.qdf
M_FLIST += hc_output
M_FLIST += system_bd
M_FLIST += hps_isw_handoff
M_FLIST += hps_sdram_*.csv
M_FLIST += *ddr3_*.csv
M_FLIST += incremental_db
M_FLIST += reconfig_mif
M_FLIST += *.sopcinfo
M_FLIST += *.jdi
M_FLIST += *.pin
M_FLIST += *_summary.csv
M_FLIST += *.dpf
.PHONY: all clean clean-all
all: de10nano.sof
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
de10nano.sof: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_ALTERA) system_project.tcl >> de10nano_quartus.log 2>&1
####################################################################################
####################################################################################
include ../scripts/project-altera.mk

View File

@ -1,19 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcadc2_vc707
M_DEPS += ../common/fmcadc2_spi.v
M_DEPS += ../common/fmcadc2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../common/vc707/vc707_system_constr.xdc
@ -21,63 +14,13 @@ M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_sysref_gen.v
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9625
LIB_DEPS += axi_dmac
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += util_adcfifo
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcadc2_vc707.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9625 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/util_adcfifo clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
fmcadc2_vc707.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcadc2_vc707_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9625
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/util_adcfifo
$(MAKE) -C ../../../library/xilinx/util_adxcvr
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,19 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcadc2_zc706
M_DEPS += ../common/fmcadc2_spi.v
M_DEPS += ../common/fmcadc2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
@ -21,72 +14,16 @@ M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_sysref_gen.v
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/xilinx/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9625
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += xilinx/axi_adcfifo
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcadc2_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9625 clean
$(MAKE) -C ../../../library/xilinx/axi_adcfifo clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
fmcadc2_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcadc2_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9625
$(MAKE) -C ../../../library/xilinx/axi_adcfifo
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,97 +1,30 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcadc4_zc706
M_DEPS += ../common/fmcadc4_spi.v
M_DEPS += ../common/fmcadc4_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/xilinx/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9680
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += util_bsplit
LIB_DEPS += util_cpack
LIB_DEPS += xilinx/axi_adcfifo
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcadc4_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9680 clean
$(MAKE) -C ../../../library/xilinx/axi_adcfifo clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_bsplit clean
$(MAKE) -C ../../../library/util_cpack clean
fmcadc4_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcadc4_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9680
$(MAKE) -C ../../../library/xilinx/axi_adcfifo
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_bsplit
$(MAKE) -C ../../../library/util_cpack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,88 +1,27 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcadc5_vc707
M_DEPS += ../common/fmcadc5_spi.v
M_DEPS += ../common/fmcadc5_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/xilinx/adcfifo_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../common/vc707/vc707_system_constr.xdc
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_fmcadc5_sync/axi_fmcadc5_sync.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_mfifo/util_mfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9625
LIB_DEPS += axi_dmac
LIB_DEPS += axi_fmcadc5_sync
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += util_adcfifo
LIB_DEPS += util_mfifo
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcadc5_vc707.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9625 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_fmcadc5_sync clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/util_adcfifo clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_mfifo clean
fmcadc5_vc707.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcadc5_vc707_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9625
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_fmcadc5_sync
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/util_adcfifo
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_mfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,25 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
ifeq ($(NIOS2_MMU),)
NIOS2_MMU := 1
endif
PROJECT_NAME := fmcjesdadc1_a10gx
export ALT_NIOS_MMU_ENABLED := $(NIOS2_MMU)
M_DEPS += system_top.v
M_DEPS += system_qsys.tcl
M_DEPS += system_project.tcl
M_DEPS += system_constr.sdc
M_DEPS += ../common/fmcjesdadc1_spi.v
M_DEPS += ../common/fmcjesdadc1_qsys.tcl
M_DEPS += ../../scripts/adi_tquest.tcl
M_DEPS += ../../scripts/adi_project_alt.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl
M_DEPS += ../../../library/common/ad_sysref_gen.v
@ -91,57 +78,4 @@ M_DEPS += ../../../library/util_cpack/util_cpack_dsf.v
M_DEPS += ../../../library/util_cpack/util_cpack_hw.tcl
M_DEPS += ../../../library/util_cpack/util_cpack_mux.v
M_ALTERA := quartus_sh --64bit -t
M_FLIST += *.log
M_FLIST += *_INFO.txt
M_FLIST += *_dump.txt
M_FLIST += db
M_FLIST += *.asm.rpt
M_FLIST += *.done
M_FLIST += *.eda.rpt
M_FLIST += *.fit.*
M_FLIST += *.map.*
M_FLIST += *.sta.*
M_FLIST += *.qsf
M_FLIST += *.qpf
M_FLIST += *.qws
M_FLIST += *.sof
M_FLIST += *.cdf
M_FLIST += *.sld
M_FLIST += *.qdf
M_FLIST += hc_output
M_FLIST += system_bd
M_FLIST += hps_isw_handoff
M_FLIST += hps_sdram_*.csv
M_FLIST += *ddr3_*.csv
M_FLIST += incremental_db
M_FLIST += reconfig_mif
M_FLIST += *.sopcinfo
M_FLIST += *.jdi
M_FLIST += *.pin
M_FLIST += *_summary.csv
M_FLIST += *.dpf
.PHONY: all clean clean-all
all: fmcjesdadc1_a10gx.sof
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
fmcjesdadc1_a10gx.sof: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_ALTERA) system_project.tcl >> fmcjesdadc1_a10gx_quartus.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/project-altera.mk

View File

@ -1,25 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
ifeq ($(NIOS2_MMU),)
NIOS2_MMU := 1
endif
PROJECT_NAME := fmcjesdadc1_a10soc
export ALT_NIOS_MMU_ENABLED := $(NIOS2_MMU)
M_DEPS += system_top.v
M_DEPS += system_qsys.tcl
M_DEPS += system_project.tcl
M_DEPS += system_constr.sdc
M_DEPS += ../common/fmcjesdadc1_spi.v
M_DEPS += ../common/fmcjesdadc1_qsys.tcl
M_DEPS += ../../scripts/adi_tquest.tcl
M_DEPS += ../../scripts/adi_project_alt.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl
M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl
M_DEPS += ../../../library/common/ad_sysref_gen.v
@ -91,57 +78,4 @@ M_DEPS += ../../../library/util_cpack/util_cpack_dsf.v
M_DEPS += ../../../library/util_cpack/util_cpack_hw.tcl
M_DEPS += ../../../library/util_cpack/util_cpack_mux.v
M_ALTERA := quartus_sh --64bit -t
M_FLIST += *.log
M_FLIST += *_INFO.txt
M_FLIST += *_dump.txt
M_FLIST += db
M_FLIST += *.asm.rpt
M_FLIST += *.done
M_FLIST += *.eda.rpt
M_FLIST += *.fit.*
M_FLIST += *.map.*
M_FLIST += *.sta.*
M_FLIST += *.qsf
M_FLIST += *.qpf
M_FLIST += *.qws
M_FLIST += *.sof
M_FLIST += *.cdf
M_FLIST += *.sld
M_FLIST += *.qdf
M_FLIST += hc_output
M_FLIST += system_bd
M_FLIST += hps_isw_handoff
M_FLIST += hps_sdram_*.csv
M_FLIST += *ddr3_*.csv
M_FLIST += incremental_db
M_FLIST += reconfig_mif
M_FLIST += *.sopcinfo
M_FLIST += *.jdi
M_FLIST += *.pin
M_FLIST += *_summary.csv
M_FLIST += *.dpf
.PHONY: all clean clean-all
all: fmcjesdadc1_a10soc.sof
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
fmcjesdadc1_a10soc.sof: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_ALTERA) system_project.tcl >> fmcjesdadc1_a10soc_quartus.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/project-altera.mk

View File

@ -1,85 +1,26 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcjesdadc1_kc705
M_DEPS += ../common/fmcjesdadc1_spi.v
M_DEPS += ../common/fmcjesdadc1_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../common/kc705/kc705_system_constr.xdc
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_sysref_gen.v
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9250
LIB_DEPS += axi_dmac
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += util_bsplit
LIB_DEPS += util_cpack
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcjesdadc1_kc705.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9250 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_bsplit clean
$(MAKE) -C ../../../library/util_cpack clean
fmcjesdadc1_kc705.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcjesdadc1_kc705_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9250
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_bsplit
$(MAKE) -C ../../../library/util_cpack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,85 +1,26 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcjesdadc1_vc707
M_DEPS += ../common/fmcjesdadc1_spi.v
M_DEPS += ../common/fmcjesdadc1_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../common/vc707/vc707_system_constr.xdc
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_sysref_gen.v
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9250
LIB_DEPS += axi_dmac
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += util_bsplit
LIB_DEPS += util_cpack
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcjesdadc1_vc707.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9250 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_bsplit clean
$(MAKE) -C ../../../library/util_cpack clean
fmcjesdadc1_vc707.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcjesdadc1_vc707_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9250
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_bsplit
$(MAKE) -C ../../../library/util_cpack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,93 +1,28 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcjesdadc1_zc706
M_DEPS += ../common/fmcjesdadc1_spi.v
M_DEPS += ../common/fmcjesdadc1_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_sysref_gen.v
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9250
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += util_bsplit
LIB_DEPS += util_cpack
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcjesdadc1_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9250 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_bsplit clean
$(MAKE) -C ../../../library/util_cpack clean
fmcjesdadc1_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcjesdadc1_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9250
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_bsplit
$(MAKE) -C ../../../library/util_cpack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,19 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcomms11_zc706
M_DEPS += ../common/fmcomms11_spi.v
M_DEPS += ../common/fmcomms11_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
@ -21,84 +14,20 @@ M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/axi_ad9162/axi_ad9162.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/xilinx/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_tx/axi_jesd204_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_tx/jesd204_tx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9162
LIB_DEPS += axi_ad9625
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/axi_jesd204_tx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += jesd204/jesd204_tx
LIB_DEPS += util_dacfifo
LIB_DEPS += xilinx/axi_adcfifo
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcomms11_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9162 clean
$(MAKE) -C ../../../library/axi_ad9625 clean
$(MAKE) -C ../../../library/xilinx/axi_adcfifo clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_tx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_dacfifo clean
fmcomms11_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms11_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9162
$(MAKE) -C ../../../library/axi_ad9625
$(MAKE) -C ../../../library/xilinx/axi_adcfifo
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_tx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_tx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_dacfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,83 +1,24 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcomms2_ac701
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/ac701/ac701_system_mig.prj
M_DEPS += ../../common/ac701/ac701_system_constr.xdc
M_DEPS += ../../common/ac701/ac701_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcomms2_ac701.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
fmcomms2_ac701.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_ac701_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,83 +1,24 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcomms2_kc705
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/kc705/kc705_system_mig.prj
M_DEPS += ../../common/kc705/kc705_system_constr.xdc
M_DEPS += ../../common/kc705/kc705_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcomms2_kc705.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
fmcomms2_kc705.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_kc705_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,84 +1,25 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcomms2_kcu105
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl
M_DEPS += ../../common/kcu105/kcu105_system_lutram_constr.xdc
M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc
M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcomms2_kcu105.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
fmcomms2_kcu105.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_kcu105_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,95 +1,28 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcomms2_mitx045
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/mitx045/mitx045_system_ps7.tcl
M_DEPS += ../../common/mitx045/mitx045_system_constr.xdc
M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcomms2_mitx045.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
fmcomms2_mitx045.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_mitx045_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,83 +1,24 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcomms2_vc707
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../common/vc707/vc707_system_constr.xdc
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcomms2_vc707.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
fmcomms2_vc707.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_vc707_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,91 +1,26 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcomms2_zc702
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc702/zc702_system_constr.xdc
M_DEPS += ../../common/zc702/zc702_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcomms2_zc702.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
fmcomms2_zc702.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_zc702_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,91 +1,26 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcomms2_zc706
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcomms2_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
fmcomms2_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,14 +1,10 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcomms2_zc706
M_DEPS += ../zc706/system_constr.xdc
M_DEPS += ../zc706/system_bd.tcl
M_DEPS += ../common/prcfg_bd.tcl
@ -16,9 +12,6 @@ M_DEPS += ../common/prcfg_bb.v
M_DEPS += ../common/prcfg.xdc
M_DEPS += ../common/prcfg.v
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
@ -38,75 +31,17 @@ M_DEPS += ../../../library/prcfg/common/prcfg_top.v
M_DEPS += ../../../library/prcfg/bist/prcfg_dac.v
M_DEPS += ../../../library/prcfg/bist/prcfg_adc.v
M_DEPS += ../../../library/common/ad_pnmon.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcomms2_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
fmcomms2_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,82 +1,23 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcomms2_zcu102
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcomms2_zcu102.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
fmcomms2_zcu102.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_zcu102_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,97 +1,28 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcomms2_zed
M_DEPS += ../common/fmcomms2_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_i2c_mixer
LIB_DEPS += util_rfifo
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcomms2_zed.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_i2c_mixer clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_tdd_sync clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
fmcomms2_zed.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms2_zed_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_i2c_mixer
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_tdd_sync
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,88 +1,25 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcomms5_zc702
M_DEPS += ../common/fmcomms5_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc702/zc702_system_constr.xdc
M_DEPS += ../../common/zc702/zc702_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcomms5_zc702.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
fmcomms5_zc702.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms5_zc702_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,88 +1,25 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcomms5_zc706
M_DEPS += ../common/fmcomms5_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcomms5_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
fmcomms5_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms5_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,79 +1,22 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcomms5_zcu102
M_DEPS += ../common/fmcomms5_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc
M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_clkdiv/util_clkdiv.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_rfifo/util_rfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += util_clkdiv
LIB_DEPS += util_cpack
LIB_DEPS += util_rfifo
LIB_DEPS += util_upack
LIB_DEPS += util_wfifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcomms5_zcu102.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9361 clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/util_clkdiv clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_rfifo clean
$(MAKE) -C ../../../library/util_upack clean
$(MAKE) -C ../../../library/util_wfifo clean
fmcomms5_zcu102.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms5_zcu102_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9361
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/util_clkdiv
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_rfifo
$(MAKE) -C ../../../library/util_upack
$(MAKE) -C ../../../library/util_wfifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,97 +1,30 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := fmcomms7_zc706
M_DEPS += ../common/fmcomms7_spi.v
M_DEPS += ../common/fmcomms7_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../common/xilinx/dacfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/xilinx/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9144
LIB_DEPS += axi_ad9680
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_cpack
LIB_DEPS += util_dacfifo
LIB_DEPS += util_upack
LIB_DEPS += xilinx/axi_adcfifo
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib fmcomms7_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9144 clean
$(MAKE) -C ../../../library/axi_ad9680 clean
$(MAKE) -C ../../../library/xilinx/axi_adcfifo clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_dacfifo clean
$(MAKE) -C ../../../library/util_upack clean
fmcomms7_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcomms7_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9144
$(MAKE) -C ../../../library/axi_ad9680
$(MAKE) -C ../../../library/xilinx/axi_adcfifo
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_dacfifo
$(MAKE) -C ../../../library/util_upack
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,74 +1,19 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := imageon_zc706
M_DEPS += ../common/imageon_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_rx/axi_hdmi_rx.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_rx/axi_spdif_rx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_rx
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_rx
LIB_DEPS += axi_spdif_tx
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib imageon_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_rx clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_rx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
imageon_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> imageon_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_rx
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_rx
$(MAKE) -C ../../../library/axi_spdif_tx
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,80 +1,21 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := imageon_zed
M_DEPS += ../common/imageon_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_rx/axi_hdmi_rx.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_rx/axi_spdif_rx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_rx
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_spdif_rx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_i2c_mixer
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib imageon_zed.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_rx clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_spdif_rx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/util_i2c_mixer clean
imageon_zed.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> imageon_zed_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_rx
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_spdif_rx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/util_i2c_mixer
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,83 +1,22 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := m2k
M_DEPS += ../common/m2k_spi.v
M_DEPS += ../common/m2k_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9963/axi_ad9963.xpr
M_DEPS += ../../../library/axi_adc_decimate/axi_adc_decimate.xpr
M_DEPS += ../../../library/axi_adc_trigger/axi_adc_trigger.xpr
M_DEPS += ../../../library/axi_dac_interpolate/axi_dac_interpolate.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_logic_analyzer/axi_logic_analyzer.xpr
M_DEPS += ../../../library/axi_rd_wr_combiner/axi_rd_wr_combiner.xpr
M_DEPS += ../../../library/util_extract/util_extract.xpr
M_DEPS += ../../../library/util_var_fifo/util_var_fifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9963
LIB_DEPS += axi_adc_decimate
LIB_DEPS += axi_adc_trigger
LIB_DEPS += axi_dac_interpolate
LIB_DEPS += axi_dmac
LIB_DEPS += axi_logic_analyzer
LIB_DEPS += axi_rd_wr_combiner
LIB_DEPS += util_extract
LIB_DEPS += util_var_fifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib m2k.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9963 clean
$(MAKE) -C ../../../library/axi_adc_decimate clean
$(MAKE) -C ../../../library/axi_adc_trigger clean
$(MAKE) -C ../../../library/axi_dac_interpolate clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_logic_analyzer clean
$(MAKE) -C ../../../library/axi_rd_wr_combiner clean
$(MAKE) -C ../../../library/util_extract clean
$(MAKE) -C ../../../library/util_var_fifo clean
m2k.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> m2k_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9963
$(MAKE) -C ../../../library/axi_adc_decimate
$(MAKE) -C ../../../library/axi_adc_trigger
$(MAKE) -C ../../../library/axi_dac_interpolate
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_logic_analyzer
$(MAKE) -C ../../../library/axi_rd_wr_combiner
$(MAKE) -C ../../../library/util_extract
$(MAKE) -C ../../../library/util_var_fifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,100 +1,29 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := m2k_fmc_zed
M_DEPS += ../common/m2k_spi.v
M_DEPS += ../common/m2k_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9963/axi_ad9963.xpr
M_DEPS += ../../../library/axi_adc_decimate/axi_adc_decimate.xpr
M_DEPS += ../../../library/axi_adc_trigger/axi_adc_trigger.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dac_interpolate/axi_dac_interpolate.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_logic_analyzer/axi_logic_analyzer.xpr
M_DEPS += ../../../library/axi_rd_wr_combiner/axi_rd_wr_combiner.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_extract/util_extract.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/util_var_fifo/util_var_fifo.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9963
LIB_DEPS += axi_adc_decimate
LIB_DEPS += axi_adc_trigger
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dac_interpolate
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_logic_analyzer
LIB_DEPS += axi_rd_wr_combiner
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_extract
LIB_DEPS += util_i2c_mixer
LIB_DEPS += util_var_fifo
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib m2k_fmc_zed.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9963 clean
$(MAKE) -C ../../../library/axi_adc_decimate clean
$(MAKE) -C ../../../library/axi_adc_trigger clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dac_interpolate clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_logic_analyzer clean
$(MAKE) -C ../../../library/axi_rd_wr_combiner clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/util_extract clean
$(MAKE) -C ../../../library/util_i2c_mixer clean
$(MAKE) -C ../../../library/util_var_fifo clean
m2k_fmc_zed.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> m2k_fmc_zed_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9963
$(MAKE) -C ../../../library/axi_adc_decimate
$(MAKE) -C ../../../library/axi_adc_trigger
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dac_interpolate
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_logic_analyzer
$(MAKE) -C ../../../library/axi_rd_wr_combiner
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/util_extract
$(MAKE) -C ../../../library/util_i2c_mixer
$(MAKE) -C ../../../library/util_var_fifo
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,90 +1,25 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := motcon2_fmc_zed
M_DEPS += ../common/motcon2_fmc_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_mc_controller/axi_mc_controller.xpr
M_DEPS += ../../../library/axi_mc_current_monitor/axi_mc_current_monitor.xpr
M_DEPS += ../../../library/axi_mc_speed/axi_mc_speed.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_gmii_to_rgmii/util_gmii_to_rgmii.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_mc_controller
LIB_DEPS += axi_mc_current_monitor
LIB_DEPS += axi_mc_speed
LIB_DEPS += axi_spdif_tx
LIB_DEPS += util_cpack
LIB_DEPS += util_gmii_to_rgmii
LIB_DEPS += util_i2c_mixer
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib motcon2_fmc_zed.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_mc_controller clean
$(MAKE) -C ../../../library/axi_mc_current_monitor clean
$(MAKE) -C ../../../library/axi_mc_speed clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/util_cpack clean
$(MAKE) -C ../../../library/util_gmii_to_rgmii clean
$(MAKE) -C ../../../library/util_i2c_mixer clean
motcon2_fmc_zed.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> motcon2_fmc_zed_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_mc_controller
$(MAKE) -C ../../../library/axi_mc_current_monitor
$(MAKE) -C ../../../library/axi_mc_speed
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/util_cpack
$(MAKE) -C ../../../library/util_gmii_to_rgmii
$(MAKE) -C ../../../library/util_i2c_mixer
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,67 +1,16 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
M_DEPS += ../scripts/adi_project.tcl
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_board.tcl
PROJECT_NAME := pluto
M_DEPS += ../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../library/util_fir_dec/util_fir_dec.xpr
M_DEPS += ../../library/util_fir_int/util_fir_int.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += util_fir_dec
LIB_DEPS += util_fir_int
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib pluto.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../library/axi_ad9361 clean
$(MAKE) -C ../../library/axi_dmac clean
$(MAKE) -C ../../library/util_fir_dec clean
$(MAKE) -C ../../library/util_fir_int clean
pluto.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> pluto_vivado.log 2>&1
lib:
$(MAKE) -C ../../library/axi_ad9361
$(MAKE) -C ../../library/axi_dmac
$(MAKE) -C ../../library/util_fir_dec
$(MAKE) -C ../../library/util_fir_int
####################################################################################
####################################################################################
include ../scripts/project-xilinx.mk

View File

@ -1,67 +1,16 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
M_DEPS += ../scripts/adi_project.tcl
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_board.tcl
PROJECT_NAME := sidekiqz2
M_DEPS += ../pluto/system_bd.tcl
M_DEPS += ../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../library/util_fir_dec/util_fir_dec.xpr
M_DEPS += ../../library/util_fir_int/util_fir_int.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += util_fir_dec
LIB_DEPS += util_fir_int
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib sidekiqz2.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../library/axi_ad9361 clean
$(MAKE) -C ../../library/axi_dmac clean
$(MAKE) -C ../../library/util_fir_dec clean
$(MAKE) -C ../../library/util_fir_int clean
sidekiqz2.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> sidekiqz2_vivado.log 2>&1
lib:
$(MAKE) -C ../../library/axi_ad9361
$(MAKE) -C ../../library/axi_dmac
$(MAKE) -C ../../library/util_fir_dec
$(MAKE) -C ../../library/util_fir_int
####################################################################################
####################################################################################
include ../scripts/project-xilinx.mk

View File

@ -1,25 +1,12 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
ifeq ($(NIOS2_MMU),)
NIOS2_MMU := 1
endif
PROJECT_NAME := usdrx1_a10gx
export ALT_NIOS_MMU_ENABLED := $(NIOS2_MMU)
M_DEPS += system_top.v
M_DEPS += system_qsys.tcl
M_DEPS += system_project.tcl
M_DEPS += system_constr.sdc
M_DEPS += ../common/usdrx1_spi.v
M_DEPS += ../common/usdrx1_qsys.tcl
M_DEPS += ../../scripts/adi_tquest.tcl
M_DEPS += ../../scripts/adi_project_alt.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl
M_DEPS += ../../../library/common/ad_sysref_gen.v
@ -86,57 +73,4 @@ M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v
M_DEPS += ../../../library/util_cdc/sync_bits.v
M_DEPS += ../../../library/util_cdc/sync_gray.v
M_ALTERA := quartus_sh --64bit -t
M_FLIST += *.log
M_FLIST += *_INFO.txt
M_FLIST += *_dump.txt
M_FLIST += db
M_FLIST += *.asm.rpt
M_FLIST += *.done
M_FLIST += *.eda.rpt
M_FLIST += *.fit.*
M_FLIST += *.map.*
M_FLIST += *.sta.*
M_FLIST += *.qsf
M_FLIST += *.qpf
M_FLIST += *.qws
M_FLIST += *.sof
M_FLIST += *.cdf
M_FLIST += *.sld
M_FLIST += *.qdf
M_FLIST += hc_output
M_FLIST += system_bd
M_FLIST += hps_isw_handoff
M_FLIST += hps_sdram_*.csv
M_FLIST += *ddr3_*.csv
M_FLIST += incremental_db
M_FLIST += reconfig_mif
M_FLIST += *.sopcinfo
M_FLIST += *.jdi
M_FLIST += *.pin
M_FLIST += *_summary.csv
M_FLIST += *.dpf
.PHONY: all clean clean-all
all: usdrx1_a10gx.sof
clean:clean-all
clean-all:
rm -rf $(M_FLIST)
usdrx1_a10gx.sof: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_ALTERA) system_project.tcl >> usdrx1_a10gx_quartus.log 2>&1
####################################################################################
####################################################################################
include ../../scripts/project-altera.mk

View File

@ -1,85 +1,26 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := usdrx1_zc706
M_DEPS += ../common/usdrx1_spi.v
M_DEPS += ../common/usdrx1_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
M_DEPS += ../../common/zc706/zc706_plddr3_adcfifo_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/common/ad_sysref_gen.v
M_DEPS += ../../../library/axi_ad9671/axi_ad9671.xpr
M_DEPS += ../../../library/xilinx/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9671
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += xilinx/axi_adcfifo
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib usdrx1_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../../library/axi_ad9671 clean
$(MAKE) -C ../../../library/xilinx/axi_adcfifo clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
usdrx1_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> usdrx1_zc706_vivado.log 2>&1
lib:
$(MAKE) -C ../../../library/axi_ad9671
$(MAKE) -C ../../../library/xilinx/axi_adcfifo
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk

View File

@ -1,70 +1,17 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
M_DEPS += ../scripts/adi_project.tcl
M_DEPS += ../scripts/adi_env.tcl
M_DEPS += ../scripts/adi_board.tcl
PROJECT_NAME := usrpe31x
M_DEPS += ../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../library/axi_ad9361/axi_ad9361_delay.tcl
M_DEPS += ../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += util_cpack
LIB_DEPS += util_tdd_sync
LIB_DEPS += util_upack
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
.PHONY: all lib clean clean-all
all: lib usrpe31x.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
$(MAKE) -C ../../library/axi_ad9361 clean
$(MAKE) -C ../../library/axi_dmac clean
$(MAKE) -C ../../library/util_cpack clean
$(MAKE) -C ../../library/util_tdd_sync clean
$(MAKE) -C ../../library/util_upack clean
usrpe31x.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> usrpe31x_vivado.log 2>&1
lib:
$(MAKE) -C ../../library/axi_ad9361
$(MAKE) -C ../../library/axi_dmac
$(MAKE) -C ../../library/util_cpack
$(MAKE) -C ../../library/util_tdd_sync
$(MAKE) -C ../../library/util_upack
####################################################################################
####################################################################################
include ../scripts/project-xilinx.mk