diff --git a/library/axi_dmac/tb/dma_read_shutdown_tb b/library/axi_dmac/tb/dma_read_shutdown_tb index fe7dda1b1..c714b9003 100755 --- a/library/axi_dmac/tb/dma_read_shutdown_tb +++ b/library/axi_dmac/tb/dma_read_shutdown_tb @@ -16,4 +16,4 @@ SOURCE+=" ../../util_cdc/sync_event.v" SOURCE+=" ../../common/ad_mem_asym.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/axi_dmac/tb/dma_read_shutdown_tb.v b/library/axi_dmac/tb/dma_read_shutdown_tb.v index 7d1b9e2ac..618a68a8e 100644 --- a/library/axi_dmac/tb/dma_read_shutdown_tb.v +++ b/library/axi_dmac/tb/dma_read_shutdown_tb.v @@ -35,7 +35,7 @@ `timescale 1ns/100ps -module dmac_dma_read_shutdown_tb; +module dma_read_shutdown_tb; parameter VCD_FILE = {`__FILE__,"cd"}; `include "tb_base.v" diff --git a/library/axi_dmac/tb/dma_read_tb b/library/axi_dmac/tb/dma_read_tb index 971f317c7..eac1454d7 100755 --- a/library/axi_dmac/tb/dma_read_tb +++ b/library/axi_dmac/tb/dma_read_tb @@ -15,4 +15,4 @@ SOURCE+=" ../../util_cdc/sync_event.v" SOURCE+=" ../../common/ad_mem_asym.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/axi_dmac/tb/dma_read_tb.v b/library/axi_dmac/tb/dma_read_tb.v index a329eb035..2ed302e3a 100644 --- a/library/axi_dmac/tb/dma_read_tb.v +++ b/library/axi_dmac/tb/dma_read_tb.v @@ -35,7 +35,7 @@ `timescale 1ns/100ps -module dmac_dma_read_tb; +module dma_read_tb; parameter VCD_FILE = {`__FILE__,"cd"}; parameter WIDTH_DEST = 32; parameter WIDTH_SRC = 32; diff --git a/library/axi_dmac/tb/dma_write_shutdown_tb b/library/axi_dmac/tb/dma_write_shutdown_tb index fa0f41acb..b5c975736 100755 --- a/library/axi_dmac/tb/dma_write_shutdown_tb +++ b/library/axi_dmac/tb/dma_write_shutdown_tb @@ -16,4 +16,4 @@ SOURCE+=" ../../util_cdc/sync_event.v" SOURCE+=" ../../common/ad_mem_asym.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/axi_dmac/tb/dma_write_shutdown_tb.v b/library/axi_dmac/tb/dma_write_shutdown_tb.v index e299f3eec..a3ac2494d 100644 --- a/library/axi_dmac/tb/dma_write_shutdown_tb.v +++ b/library/axi_dmac/tb/dma_write_shutdown_tb.v @@ -35,7 +35,7 @@ `timescale 1ns/100ps -module dmac_dma_write_shutdown_tb; +module dma_write_shutdown_tb; parameter VCD_FILE = {`__FILE__,"cd"}; `include "tb_base.v" diff --git a/library/axi_dmac/tb/dma_write_tb b/library/axi_dmac/tb/dma_write_tb index 2a4ab796f..0d3e470b8 100755 --- a/library/axi_dmac/tb/dma_write_tb +++ b/library/axi_dmac/tb/dma_write_tb @@ -15,4 +15,4 @@ SOURCE+=" ../../util_cdc/sync_event.v" SOURCE+=" ../../common/ad_mem_asym.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/axi_dmac/tb/dma_write_tb.v b/library/axi_dmac/tb/dma_write_tb.v index 7582229fb..34e8af185 100644 --- a/library/axi_dmac/tb/dma_write_tb.v +++ b/library/axi_dmac/tb/dma_write_tb.v @@ -35,7 +35,7 @@ `timescale 1ns/100ps -module dmac_dma_write_tb; +module dma_write_tb; parameter VCD_FILE = {`__FILE__,"cd"}; parameter WIDTH_DEST = 32; parameter WIDTH_SRC = 32; diff --git a/library/axi_dmac/tb/regmap_tb b/library/axi_dmac/tb/regmap_tb index b2467157c..3cd6d1439 100755 --- a/library/axi_dmac/tb/regmap_tb +++ b/library/axi_dmac/tb/regmap_tb @@ -4,7 +4,7 @@ SOURCE="regmap_tb.v" SOURCE+=" ../axi_dmac_regmap.v ../axi_dmac_regmap_request.v" SOURCE+=" ../../common/up_axi.v" SOURCE+=" ../../util_axis_fifo/util_axis_fifo.v" -SOURCE+=" ../../util_axis_fifo/address_sync.v" +SOURCE+=" ../../util_axis_fifo/util_axis_fifo_address_generator.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/axi_dmac/tb/regmap_tb.v b/library/axi_dmac/tb/regmap_tb.v index 1ab42df5e..44d13d444 100644 --- a/library/axi_dmac/tb/regmap_tb.v +++ b/library/axi_dmac/tb/regmap_tb.v @@ -35,7 +35,7 @@ `timescale 1ns/100ps -module dmac_regmap_tb; +module regmap_tb; parameter VCD_FILE = {`__FILE__,"cd"}; `define TIMEOUT 1000000 diff --git a/library/axi_dmac/tb/reset_manager_tb b/library/axi_dmac/tb/reset_manager_tb index cd656cdba..dfd815bf0 100755 --- a/library/axi_dmac/tb/reset_manager_tb +++ b/library/axi_dmac/tb/reset_manager_tb @@ -5,4 +5,4 @@ SOURCE+=" ../axi_dmac_reset_manager.v" SOURCE+=" ../../util_cdc/sync_bits.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/axi_dmac/tb/reset_manager_tb.v b/library/axi_dmac/tb/reset_manager_tb.v index e113af59f..fa7fc8c4c 100644 --- a/library/axi_dmac/tb/reset_manager_tb.v +++ b/library/axi_dmac/tb/reset_manager_tb.v @@ -35,7 +35,7 @@ `timescale 1ns/100ps -module dmac_reset_manager_tb; +module reset_manager_tb; parameter VCD_FILE = {`__FILE__,"cd"}; `define TIMEOUT 1000000 diff --git a/library/axi_dmac/tb/run_tb.sh b/library/axi_dmac/tb/run_tb.sh deleted file mode 100644 index ddf9cc404..000000000 --- a/library/axi_dmac/tb/run_tb.sh +++ /dev/null @@ -1,24 +0,0 @@ -NAME=`basename $0` - -case "$SIMULATOR" in - modelsim) - # ModelSim flow - vlib work - vlog ${SOURCE} || exit 1 - vsim "dmac_"${NAME} -do "add log /* -r; run -a" -gui || exit 1 - ;; - xsim) - # xsim flow - xvlog -log ${NAME}_xvlog.log --sourcelibdir . ${SOURCE} - xelab -log ${NAME}_xelab.log -debug all dmac_${NAME} - xsim work.dmac_${NAME} -R - ;; - *) - #Icarus flow is the default - mkdir -p run - mkdir -p vcd - iverilog -o run/run_${NAME} -I.. ${SOURCE} $1 || exit 1 - cd vcd - ../run/run_${NAME} - ;; -esac diff --git a/library/common/tb/generate_xml.sh b/library/common/tb/generate_xml.sh new file mode 100755 index 000000000..ccdc08768 --- /dev/null +++ b/library/common/tb/generate_xml.sh @@ -0,0 +1,39 @@ +#!/bin/bash + +# Depending on simulator, search for errors or 'SUCCESS' keyword in specific log +if [[ "$SIMULATOR" == "modelsim" ]]; then + ERRS=`grep -i -e '# Error ' -e '# Fatal' -e '# Failed' -C 10 ${NAME}_modelsim.log` + SUCCESS=`grep 'SUCCESS' ${NAME}_${SIMULATOR}.log` +elif [[ "$SIMULATOR" == "xsim" ]]; then + ERRS=`grep -v ^# ${NAME}_xvlog.log | grep -w -i -e error -e fatal -e fatal_error -e failed -C 10` + ERRS=$ERRS`grep -v ^# ${NAME}_xelab.log | grep -w -i -e error -e fatal -e fatal_error -e failed -C 10` + ERRS=$ERRS`grep -v ^# ${NAME}_xsim.log | grep -w -i -e error -e fatal -e fatal_error -e failed -C 10` + SUCCESS=`grep 'SUCCESS' ${NAME}_xsim.log` +else + echo "XML file is generated only for 'modelsim' and 'xsim' simulators." + echo "Check that variable SIMULATOR is exported and is set to one of those." +fi + +# If DURATION is not defined, try to extract it from log file. If it's not found, just use 0 +if [[ -z ${DURATION+x} ]]; then + DURATION=$(grep -i 'elapsed' ${NAME}_${SIMULATOR}.log | cut -d ' ' -f '10') + if [[ -z "$DURATION" ]]; then DURATION="0";fi +fi + +#Generate xml file +xmlFile="${NAME}.xml" +echo "" > $xmlFile +echo -e "" >> $xmlFile +echo -e "\t" >> $xmlFile +if [[ "$ERRS" ]]; then + echo -e "\t\t\n\"$ERRS\"\n\t\t" >> $xmlFile +elif [[ "$SUCCESS" ]]; then + echo -e "\t\t" >> $xmlFile +else #There is no error or 'SUCCESS' keyword in log file - set result to 'Skipped' + echo -e "" >> $xmlFile + echo -e "\tThe log file does not contain any errors or 'SUCCESS' keyword." >> $xmlFile + echo -e "\tLog file was not created properly or the testbench is not automated" >> $xmlFile + echo -e "" >> $xmlFile +fi +echo -e "\t" >> $xmlFile +echo "" >> $xmlFile diff --git a/library/common/tb/run_tb.sh b/library/common/tb/run_tb.sh index 4769fa4d8..970f6be17 100644 --- a/library/common/tb/run_tb.sh +++ b/library/common/tb/run_tb.sh @@ -1,24 +1,42 @@ -NAME=`basename $0` +export NAME=`basename $0` + +# MODE not defined or defined to something else than 'batch' +if [[ -z ${MODE+x} ]] || [[ ! "$MODE" =~ "batch" ]]; then MODE="gui";fi +MODE="-"${MODE##*-} #remove any eventual extra dashes case "$SIMULATOR" in - modelsim) + modelsim) # ModelSim flow vlib work vlog ${SOURCE} || exit 1 - vsim ${NAME} -do "add log /* -r; run -a" -gui || exit 1 + vsim ${NAME} -do "add log /* -r; run -a" $MODE -logfile ${NAME}_modelsim.log || exit 1 ;; - xsim) - # xsim flow - xvlog -log ${NAME}_xvlog.log --sourcelibdir . ${SOURCE} - xelab -log ${NAME}_xelab.log -debug all ${NAME} - xsim work.${NAME} -R + + xsim) + # XSim flow + xvlog -log ${NAME}_xvlog.log --sourcelibdir . ${SOURCE} + xelab -log ${NAME}_xelab.log -debug all ${NAME} + if [[ "$MODE" == "-gui" ]]; then + echo "run all" > xsim_gui_cmd.tcl + xsim work.${NAME} -gui -tclbatch xsim_gui_cmd.tcl -log ${NAME}_xsim.log + else + xsim work.${NAME} -R -log ${NAME}_xsim.log + fi + ;; + + xcelium) + # Xcelium flow + xmvlog -NOWARN NONPRT ${SOURCE} || exit 1 + xmelab -access +rc ${NAME} + xmsim ${NAME} -gui || exit 1 + ;; + + *) + #Icarus flow is the default + mkdir -p run + mkdir -p vcd + iverilog -o run/run_${NAME} -I.. ${SOURCE} $1 || exit 1 + cd vcd + ../run/run_${NAME} ;; - *) - mkdir -p run - mkdir -p vcd - iverilog ${SOURCE} -o run/run_${NAME} $1 || exit 1 - - cd vcd - ../run/run_${NAME} - ;; esac diff --git a/library/jesd204/tb/axi_jesd204_rx_regmap_tb b/library/jesd204/tb/axi_jesd204_rx_regmap_tb index d0f40132c..0944842ad 100755 --- a/library/jesd204/tb/axi_jesd204_rx_regmap_tb +++ b/library/jesd204/tb/axi_jesd204_rx_regmap_tb @@ -14,4 +14,4 @@ SOURCE+=" ../../util_cdc/sync_data.v" SOURCE+=" ../../util_cdc/sync_event.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/axi_jesd204_tx_regmap_tb b/library/jesd204/tb/axi_jesd204_tx_regmap_tb index 5d951bff0..604fd263b 100755 --- a/library/jesd204/tb/axi_jesd204_tx_regmap_tb +++ b/library/jesd204/tb/axi_jesd204_tx_regmap_tb @@ -12,4 +12,4 @@ SOURCE+=" ../../util_cdc/sync_data.v" SOURCE+=" ../../util_cdc/sync_event.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/crc12_tb b/library/jesd204/tb/crc12_tb index 3d67c31e8..9a805bf20 100755 --- a/library/jesd204/tb/crc12_tb +++ b/library/jesd204/tb/crc12_tb @@ -4,4 +4,4 @@ SOURCE="crc12_tb.v" SOURCE+=" ../jesd204_common/jesd204_crc12.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/frame_align_tb b/library/jesd204/tb/frame_align_tb index 755442211..9cd746efb 100755 --- a/library/jesd204/tb/frame_align_tb +++ b/library/jesd204/tb/frame_align_tb @@ -15,4 +15,4 @@ SOURCE+=" ../../util_cdc/sync_bits.v" SOURCE+=" ../../util_cdc/sync_event.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/jesd204_frame_align_replace_tb b/library/jesd204/tb/jesd204_frame_align_replace_tb index 8d12fbbbb..896cec779 100755 --- a/library/jesd204/tb/jesd204_frame_align_replace_tb +++ b/library/jesd204/tb/jesd204_frame_align_replace_tb @@ -4,4 +4,4 @@ SOURCE="jesd204_frame_align_replace_tb.v" SOURCE+=" ../jesd204_common/jesd204_frame_align_replace.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/jesd204_frame_mark_tb b/library/jesd204/tb/jesd204_frame_mark_tb index 45c3a4019..63a17f979 100755 --- a/library/jesd204/tb/jesd204_frame_mark_tb +++ b/library/jesd204/tb/jesd204_frame_mark_tb @@ -4,4 +4,4 @@ SOURCE="jesd204_frame_mark_tb.v" SOURCE+=" ../jesd204_common/jesd204_frame_mark.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/loopback_64b_tb b/library/jesd204/tb/loopback_64b_tb index 93c238e67..57044ef4f 100755 --- a/library/jesd204/tb/loopback_64b_tb +++ b/library/jesd204/tb/loopback_64b_tb @@ -13,4 +13,4 @@ SOURCE+=" ../jesd204_tx_static_config/jesd204_ilas_cfg_static.v" SOURCE+=" ../../util_cdc/sync_bits.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/loopback_tb b/library/jesd204/tb/loopback_tb index c71f1b701..99fcc0a84 100755 --- a/library/jesd204/tb/loopback_tb +++ b/library/jesd204/tb/loopback_tb @@ -14,4 +14,4 @@ SOURCE+=" ../jesd204_tx_static_config/jesd204_ilas_cfg_static.v" SOURCE+=" ../../util_cdc/sync_bits.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/run_tb.sh b/library/jesd204/tb/run_tb.sh deleted file mode 100755 index 09476475e..000000000 --- a/library/jesd204/tb/run_tb.sh +++ /dev/null @@ -1,30 +0,0 @@ -NAME=`basename $0` - -case "$SIMULATOR" in - modelsim) - # ModelSim flow - vlib work - vlog ${SOURCE} || exit 1 - vsim ${NAME} -do "add log /* -r; run -a" -gui || exit 1 - ;; - xcelium) - # Xcelium flow - xmvlog -NOWARN NONPRT ${SOURCE} || exit 1 - xmelab -access +rc ${NAME} - xmsim ${NAME} -gui || exit 1 - ;; - xsim) - # xsim flow - xvlog -log ${NAME}_xvlog.log --sourcelibdir . ${SOURCE} - xelab -log ${NAME}_xelab.log -debug all ${NAME} - xsim work.${NAME} -R - ;; - *) - mkdir -p run - mkdir -p vcd - iverilog ${SOURCE} -o run/run_${NAME} $1 || exit 1 - - cd vcd - ../run/run_${NAME} - ;; -esac diff --git a/library/jesd204/tb/rx_cgs_tb b/library/jesd204/tb/rx_cgs_tb index d1a467001..61a21ddb5 100755 --- a/library/jesd204/tb/rx_cgs_tb +++ b/library/jesd204/tb/rx_cgs_tb @@ -4,4 +4,4 @@ SOURCE="rx_cgs_tb.v" SOURCE+=" ../jesd204_rx/jesd204_rx_cgs.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/rx_ctrl_tb b/library/jesd204/tb/rx_ctrl_tb index bd2bcaaa9..ad96e3ed8 100755 --- a/library/jesd204/tb/rx_ctrl_tb +++ b/library/jesd204/tb/rx_ctrl_tb @@ -4,4 +4,4 @@ SOURCE="rx_ctrl_tb.v" SOURCE+=" ../jesd204_rx/jesd204_rx_ctrl.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/rx_lane_tb b/library/jesd204/tb/rx_lane_tb index f14953d70..bba230628 100755 --- a/library/jesd204/tb/rx_lane_tb +++ b/library/jesd204/tb/rx_lane_tb @@ -7,4 +7,4 @@ SOURCE+=" ../jesd204_common/jesd204_scrambler.v" SOURCE+=" ../jesd204_common/pipeline_stage.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/rx_tb b/library/jesd204/tb/rx_tb index 6c5f3da36..005a6ba7c 100755 --- a/library/jesd204/tb/rx_tb +++ b/library/jesd204/tb/rx_tb @@ -9,4 +9,4 @@ SOURCE+=" ../jesd204_rx/jesd204_ilas_monitor.v ../jesd204_rx/align_mux.v ../jesd SOURCE+=" ../jesd204_rx/jesd204_rx_ctrl.v ../jesd204_rx/elastic_buffer.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/scrambler_64b_tb b/library/jesd204/tb/scrambler_64b_tb index ca1c4bbec..202e5faed 100755 --- a/library/jesd204/tb/scrambler_64b_tb +++ b/library/jesd204/tb/scrambler_64b_tb @@ -4,4 +4,4 @@ SOURCE="scrambler_64b_tb.v" SOURCE+=" ../jesd204_common/jesd204_scrambler_64b.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/scrambler_tb b/library/jesd204/tb/scrambler_tb index 6aefdfa51..c9d8be7c0 100755 --- a/library/jesd204/tb/scrambler_tb +++ b/library/jesd204/tb/scrambler_tb @@ -4,4 +4,4 @@ SOURCE="scrambler_tb.v" SOURCE+=" ../jesd204_common/jesd204_scrambler.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/soft_pcs_8b10b_sequence_tb b/library/jesd204/tb/soft_pcs_8b10b_sequence_tb index f67086fb8..dca052789 100755 --- a/library/jesd204/tb/soft_pcs_8b10b_sequence_tb +++ b/library/jesd204/tb/soft_pcs_8b10b_sequence_tb @@ -5,4 +5,4 @@ SOURCE+=" ../jesd204_soft_pcs_tx/jesd204_8b10b_encoder.v" SOURCE+=" ../jesd204_soft_pcs_rx/jesd204_8b10b_decoder.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/soft_pcs_8b10b_table_tb b/library/jesd204/tb/soft_pcs_8b10b_table_tb index e7fe86d84..243457567 100755 --- a/library/jesd204/tb/soft_pcs_8b10b_table_tb +++ b/library/jesd204/tb/soft_pcs_8b10b_table_tb @@ -5,4 +5,4 @@ SOURCE+=" ../jesd204_soft_pcs_tx/jesd204_8b10b_encoder.v" SOURCE+=" ../jesd204_soft_pcs_rx/jesd204_8b10b_decoder.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/soft_pcs_loopback_tb b/library/jesd204/tb/soft_pcs_loopback_tb index db27b8a79..567c64533 100755 --- a/library/jesd204/tb/soft_pcs_loopback_tb +++ b/library/jesd204/tb/soft_pcs_loopback_tb @@ -6,4 +6,4 @@ SOURCE+=" ../jesd204_soft_pcs_rx/jesd204_soft_pcs_rx.v ../jesd204_soft_pcs_rx/je SOURCE+=" ../jesd204_soft_pcs_rx/jesd204_pattern_align.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/soft_pcs_pattern_align_tb b/library/jesd204/tb/soft_pcs_pattern_align_tb index a3a3185de..bd63f016c 100755 --- a/library/jesd204/tb/soft_pcs_pattern_align_tb +++ b/library/jesd204/tb/soft_pcs_pattern_align_tb @@ -4,4 +4,4 @@ SOURCE="soft_pcs_pattern_align_tb.v" SOURCE+=" ../jesd204_soft_pcs_rx/jesd204_pattern_align.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/tx_64b_tb b/library/jesd204/tb/tx_64b_tb index 37cc47dc8..688639aa1 100755 --- a/library/jesd204/tb/tx_64b_tb +++ b/library/jesd204/tb/tx_64b_tb @@ -8,4 +8,4 @@ SOURCE+=" ../../util_cdc/sync_bits.v" SOURCE+=" ../jesd204_common/pipeline_stage.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/tx_ctrl_phase_tb b/library/jesd204/tb/tx_ctrl_phase_tb index 5fcd69274..6a94c89ac 100755 --- a/library/jesd204/tb/tx_ctrl_phase_tb +++ b/library/jesd204/tb/tx_ctrl_phase_tb @@ -5,4 +5,4 @@ SOURCE+=" ../jesd204_tx/jesd204_tx_ctrl.v" SOURCE+=" ../../util_cdc/sync_bits.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/jesd204/tb/tx_tb b/library/jesd204/tb/tx_tb index 311bc40c7..ee4b010c1 100755 --- a/library/jesd204/tb/tx_tb +++ b/library/jesd204/tb/tx_tb @@ -7,4 +7,4 @@ SOURCE+=" ../jesd204_tx_static_config/jesd204_tx_static_config.v ../jesd204_tx_s SOURCE+=" ../../util_cdc/sync_bits.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/util_pack/tb/cpack_tb b/library/util_pack/tb/cpack_tb index e9a0bd51e..6bd48a5f7 100755 --- a/library/util_pack/tb/cpack_tb +++ b/library/util_pack/tb/cpack_tb @@ -9,4 +9,4 @@ SOURCE+=" ../util_pack_common/pack_shell.v" SOURCE+=" ../../common/ad_perfect_shuffle.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/util_pack/tb/cpack_tb.v b/library/util_pack/tb/cpack_tb.v index 745e1139a..b1b6f4fbf 100644 --- a/library/util_pack/tb/cpack_tb.v +++ b/library/util_pack/tb/cpack_tb.v @@ -108,6 +108,7 @@ module cpack_tb; end end + integer i; integer j; integer h; @@ -136,8 +137,6 @@ module cpack_tb; end end - integer i; - always @(posedge clk) begin if (reset == 1'b1) begin for (i = 0; i < NUM_OF_PORTS; i = i + 1) begin diff --git a/library/util_pack/tb/run_tb.sh b/library/util_pack/tb/run_tb.sh deleted file mode 100644 index e6c62475b..000000000 --- a/library/util_pack/tb/run_tb.sh +++ /dev/null @@ -1,34 +0,0 @@ -NAME=`basename $0` - -mkdir -p run -mkdir -p vcd - -WARNINGS="-Wimplicit -Wportbind -Wselect-range -Wtimescale" - -# These warnings are only available with version 11 -iverilog -v 2>&1 | grep -o "version 1[^0]" > /dev/null -if [[ $? = 0 ]]; then - WARNINGS+=" -Wfloating-nets -Wanachronisms -Wimplicit-dimensions" -fi - -# Can be overwritten using a environment variables -NUM_CHANNELS=${NUM_CHANNELS:-"1 2 4 8 16 32"} -SAMPLES_PER_CHANNEL=${SAMPLES_PER_CHANNEL:-1} -ENABLE_RANDOM=${ENABLE_RANDOM:-0} -VCD=${VCD:-0} - -for i in ${NUM_CHANNELS}; do - if [[ $VCD = 0 ]]; then - VCD_FILE='""'; - else - VCD_FILE='"'${NAME}_${SAMPLES_PER_CHANNEL}_${i}'.vcd"' - fi - echo Testing $i Channels... - iverilog ${WARNINGS} ${SOURCE} -o run/run_${NAME}_${i} $1 \ - -P ${NAME}.NUM_OF_CHANNELS=${i} \ - -P ${NAME}.SAMPLES_PER_CHANNEL=${SAMPLES_PER_CHANNEL} \ - -P ${NAME}.ENABLE_RANDOM=${ENABLE_RANDOM} \ - -P ${NAME}.VCD_FILE=${VCD_FILE} \ - || exit 1 - (cd vcd; vvp -N ../run/run_${NAME}_${i}) -done diff --git a/library/util_pack/tb/underflow_tb b/library/util_pack/tb/underflow_tb index 08a32708e..ac1c5c293 100755 --- a/library/util_pack/tb/underflow_tb +++ b/library/util_pack/tb/underflow_tb @@ -9,4 +9,4 @@ SOURCE+=" ../util_pack_common/pack_shell.v" SOURCE+=" ../../common/ad_perfect_shuffle.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh diff --git a/library/util_pack/tb/underflow_tb.v b/library/util_pack/tb/underflow_tb.v index 34d69f944..db348096a 100644 --- a/library/util_pack/tb/underflow_tb.v +++ b/library/util_pack/tb/underflow_tb.v @@ -35,7 +35,7 @@ `timescale 1ns/100ps -module upack2_underflow_tb; +module underflow_tb; parameter VCD_FILE = {`__FILE__,"cd"}; parameter NUM_OF_CHANNELS = 8; parameter SAMPLES_PER_CHANNEL = 4; diff --git a/library/util_pack/tb/upack_tb b/library/util_pack/tb/upack_tb index 4b132d4b7..55d4a2269 100755 --- a/library/util_pack/tb/upack_tb +++ b/library/util_pack/tb/upack_tb @@ -9,4 +9,4 @@ SOURCE+=" ../util_pack_common/pack_shell.v" SOURCE+=" ../../common/ad_perfect_shuffle.v" cd `dirname $0` -source run_tb.sh +source ../../common/tb/run_tb.sh