a10gx: Remove constraint from eth_ref_clk

main
Istvan Csomortani 2019-06-20 16:20:40 +01:00 committed by Adrian Costina
parent 967a138d0f
commit 359e5d94ec
1 changed files with 0 additions and 2 deletions

View File

@ -163,8 +163,6 @@ set_instance_assignment -name IO_STANDARD "1.8 V" -to eth_mdc
set_instance_assignment -name IO_STANDARD "1.8 V" -to eth_mdio set_instance_assignment -name IO_STANDARD "1.8 V" -to eth_mdio
set_instance_assignment -name IO_STANDARD "1.8 V" -to eth_intn set_instance_assignment -name IO_STANDARD "1.8 V" -to eth_intn
set_instance_assignment -name GLOBAL_SIGNAL "GLOBAL CLOCK" -to eth_ref_clk
# flash # flash
set_location_assignment PIN_BB12 -to flash_addr[0] set_location_assignment PIN_BB12 -to flash_addr[0]