From 335fef0f42312b202a459be0a97fc461b980f13d Mon Sep 17 00:00:00 2001 From: Istvan Csomortani Date: Wed, 29 Mar 2017 18:31:40 +0300 Subject: [PATCH] ad_axi_ip_constr: Split up this constraint file into separate files For experimentation, to solve a constraint scoping issue, split up the ad_axi_ip_constraint file into separate constraints file, in function of there parent module. --- library/common/ad_rst_constr.xdc | 7 +++++++ library/common/up_clock_mon_constr.xdc | 7 +++++++ library/common/up_xfer_cntrl_constr.xdc | 7 +++++++ library/common/up_xfer_status_constr.xdc | 7 +++++++ 4 files changed, 28 insertions(+) create mode 100644 library/common/ad_rst_constr.xdc create mode 100644 library/common/up_clock_mon_constr.xdc create mode 100644 library/common/up_xfer_cntrl_constr.xdc create mode 100644 library/common/up_xfer_status_constr.xdc diff --git a/library/common/ad_rst_constr.xdc b/library/common/ad_rst_constr.xdc new file mode 100644 index 000000000..87715ae36 --- /dev/null +++ b/library/common/ad_rst_constr.xdc @@ -0,0 +1,7 @@ + +set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *ad_rst_sync*}] + + +set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *ad_rst_sync*}] + +set_false_path -to [get_cells -hier -filter {name =~ *ad_rst_sync_m1_reg && IS_SEQUENTIAL}] diff --git a/library/common/up_clock_mon_constr.xdc b/library/common/up_clock_mon_constr.xdc new file mode 100644 index 000000000..da3881ca3 --- /dev/null +++ b/library/common/up_clock_mon_constr.xdc @@ -0,0 +1,7 @@ + +set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_count_toggle_m*}] +set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_count_toggle_m*}] + +set_false_path -from [get_cells -hier -filter {name =~ *d_count_toggle_reg && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *up_count_toggle_m1_reg && IS_SEQUENTIAL}] +set_false_path -from [get_cells -hier -filter {name =~ *up_count_toggle_reg && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *d_count_toggle_m1_reg && IS_SEQUENTIAL}] +set_false_path -from [get_cells -hier -filter {name =~ *d_count_hold* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *up_d_count* && IS_SEQUENTIAL}] diff --git a/library/common/up_xfer_cntrl_constr.xdc b/library/common/up_xfer_cntrl_constr.xdc new file mode 100644 index 000000000..c089f82bf --- /dev/null +++ b/library/common/up_xfer_cntrl_constr.xdc @@ -0,0 +1,7 @@ + +set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_state*}] +set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_xfer_toggle_m*}] + +set_false_path -from [get_cells -hier -filter {name =~ *d_xfer_toggle_reg && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *up_xfer_state_m1_reg && IS_SEQUENTIAL}] +set_false_path -from [get_cells -hier -filter {name =~ *up_xfer_toggle_reg && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *d_xfer_toggle_m1_reg && IS_SEQUENTIAL}] +set_false_path -from [get_cells -hier -filter {name =~ *up_xfer_data* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *d_data_cntrl* && IS_SEQUENTIAL}] diff --git a/library/common/up_xfer_status_constr.xdc b/library/common/up_xfer_status_constr.xdc new file mode 100644 index 000000000..92231132b --- /dev/null +++ b/library/common/up_xfer_status_constr.xdc @@ -0,0 +1,7 @@ + +set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_xfer_state*}] +set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_toggle_m*}] + +set_false_path -from [get_cells -hier -filter {name =~ *d_xfer_toggle_reg && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *up_xfer_toggle_m1_reg && IS_SEQUENTIAL}] +set_false_path -from [get_cells -hier -filter {name =~ *up_xfer_toggle_reg && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *d_xfer_state_m1_reg && IS_SEQUENTIAL}] +set_false_path -from [get_cells -hier -filter {name =~ *d_xfer_data* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *up_data_status* && IS_SEQUENTIAL}]