sysid_intel: Added sysid to intel projects

main
Sergiu Arpadi 2020-08-18 21:52:39 +01:00 committed by sarpadi
parent f57643b451
commit 3241924d14
28 changed files with 195 additions and 3 deletions

View File

@ -10,4 +10,14 @@ set LANE_RATE [expr {($ADC_RESOLUTION * $NUM_OF_CHANNELS *$SAMPLE_RATE_MHZ * 1.2
source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl
source ../common/ad_fmclidar1_ebz_qsys.tcl source ../common/ad_fmclidar1_ebz_qsys.tcl
source $ad_hdl_dir/projects/scripts/adi_pd_intel.tcl
#system ID
set_instance_parameter_value axi_sysid_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {PATH_TO_FILE} "[pwd]/mem_init_sys.txt"
set sys_cstring "sys rom custom string placeholder";
sysid_gen_sys_init_file $sys_cstring;

View File

@ -251,6 +251,7 @@ module system_top (
.sys_gpio_bd_out_port (gpio_o[31:0]), .sys_gpio_bd_out_port (gpio_o[31:0]),
.sys_gpio_in_export (gpio_i[63:32]), .sys_gpio_in_export (gpio_i[63:32]),
.sys_gpio_out_export (gpio_o[63:32]), .sys_gpio_out_export (gpio_o[63:32]),
.pr_rom_data_nc_rom_data('h0),
.sys_hps_ddr_mem_ck (hps_ddr_clk_p), .sys_hps_ddr_mem_ck (hps_ddr_clk_p),
.sys_hps_ddr_mem_ck_n (hps_ddr_clk_n), .sys_hps_ddr_mem_ck_n (hps_ddr_clk_n),
.sys_hps_ddr_mem_a (hps_ddr_a), .sys_hps_ddr_mem_a (hps_ddr_a),

View File

@ -1,6 +1,17 @@
set dac_fifo_address_width 10 set dac_fifo_address_width 10
source $ad_hdl_dir/projects/scripts/adi_pd_intel.tcl
source $ad_hdl_dir/projects/common/a10gx/a10gx_system_qsys.tcl source $ad_hdl_dir/projects/common/a10gx/a10gx_system_qsys.tcl
source $ad_hdl_dir/projects/common/intel/dacfifo_qsys.tcl source $ad_hdl_dir/projects/common/intel/dacfifo_qsys.tcl
source ../common/adrv9009_qsys.tcl source ../common/adrv9009_qsys.tcl
#system ID
set_instance_parameter_value axi_sysid_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {PATH_TO_FILE} "[pwd]/mem_init_sys.txt"
set sys_cstring "sys rom custom string placeholder";
sysid_gen_sys_init_file $sys_cstring;

View File

@ -214,6 +214,7 @@ module system_top (
.sys_gpio_bd_out_port (gpio_o[31:0]), .sys_gpio_bd_out_port (gpio_o[31:0]),
.sys_gpio_in_export (gpio_i[63:32]), .sys_gpio_in_export (gpio_i[63:32]),
.sys_gpio_out_export (gpio_o[63:32]), .sys_gpio_out_export (gpio_o[63:32]),
.pr_rom_data_nc_rom_data('h0),
.sys_rst_reset_n (sys_resetn), .sys_rst_reset_n (sys_resetn),
.sys_spi_MISO (spi_miso), .sys_spi_MISO (spi_miso),
.sys_spi_MOSI (spi_mosi), .sys_spi_MOSI (spi_mosi),

View File

@ -1,6 +1,17 @@
set dac_fifo_address_width 10 set dac_fifo_address_width 10
source $ad_hdl_dir/projects/scripts/adi_pd_intel.tcl
source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl
source $ad_hdl_dir/projects/common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl
source ../common/adrv9009_qsys.tcl source ../common/adrv9009_qsys.tcl
#system ID
set_instance_parameter_value axi_sysid_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {PATH_TO_FILE} "[pwd]/mem_init_sys.txt"
set sys_cstring "sys rom custom string placeholder";
sysid_gen_sys_init_file $sys_cstring;

View File

@ -320,6 +320,7 @@ module system_top (
.rx_os_sysref_export (sysref), .rx_os_sysref_export (sysref),
.rx_ref_clk_clk (ref_clk1), .rx_ref_clk_clk (ref_clk1),
.rx_sync_export (rx_sync), .rx_sync_export (rx_sync),
.pr_rom_data_nc_rom_data('h0),
.rx_sysref_export (sysref)); .rx_sysref_export (sysref));
endmodule endmodule

View File

@ -1,7 +1,18 @@
set dac_fifo_address_width 10 set dac_fifo_address_width 10
source $ad_hdl_dir/projects/scripts/adi_pd_intel.tcl
source $ad_hdl_dir/projects/common/a10gx/a10gx_system_qsys.tcl source $ad_hdl_dir/projects/common/a10gx/a10gx_system_qsys.tcl
source $ad_hdl_dir/projects/common/intel/dacfifo_qsys.tcl source $ad_hdl_dir/projects/common/intel/dacfifo_qsys.tcl
source ../common/adrv9371x_qsys.tcl source ../common/adrv9371x_qsys.tcl
#system ID
set_instance_parameter_value axi_sysid_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {PATH_TO_FILE} "[pwd]/mem_init_sys.txt"
set sys_cstring "sys rom custom string placeholder";
sysid_gen_sys_init_file $sys_cstring;

View File

@ -213,6 +213,7 @@ module system_top (
.sys_gpio_bd_out_port (gpio_o[31:0]), .sys_gpio_bd_out_port (gpio_o[31:0]),
.sys_gpio_in_export (gpio_i[63:32]), .sys_gpio_in_export (gpio_i[63:32]),
.sys_gpio_out_export (gpio_o[63:32]), .sys_gpio_out_export (gpio_o[63:32]),
.pr_rom_data_nc_rom_data('h0),
.sys_rst_reset_n (sys_resetn), .sys_rst_reset_n (sys_resetn),
.sys_spi_MISO (spi_miso), .sys_spi_MISO (spi_miso),
.sys_spi_MOSI (spi_mosi), .sys_spi_MOSI (spi_mosi),

View File

@ -1,8 +1,17 @@
set dac_fifo_address_width 10 set dac_fifo_address_width 10
source $ad_hdl_dir/projects/scripts/adi_pd_intel.tcl
source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl
source $ad_hdl_dir/projects/common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl
source ../common/adrv9371x_qsys.tcl source ../common/adrv9371x_qsys.tcl
#system ID
set_instance_parameter_value axi_sysid_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {PATH_TO_FILE} "[pwd]/mem_init_sys.txt"
set sys_cstring "sys rom custom string placeholder";
sysid_gen_sys_init_file $sys_cstring;

View File

@ -319,6 +319,7 @@ module system_top (
.rx_os_sysref_export (sysref), .rx_os_sysref_export (sysref),
.rx_ref_clk_clk (ref_clk1), .rx_ref_clk_clk (ref_clk1),
.rx_sync_export (rx_sync), .rx_sync_export (rx_sync),
.pr_rom_data_nc_rom_data('h0),
.rx_sysref_export (sysref)); .rx_sysref_export (sysref));
endmodule endmodule

View File

@ -1,5 +1,14 @@
source $ad_hdl_dir/projects/scripts/adi_pd_intel.tcl
source $ad_hdl_dir/projects/common/c5soc/c5soc_system_qsys.tcl source $ad_hdl_dir/projects/common/c5soc/c5soc_system_qsys.tcl
source ../common/arradio_qsys.tcl source ../common/arradio_qsys.tcl
#system ID
set_instance_parameter_value axi_sysid_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {PATH_TO_FILE} "[pwd]/mem_init_sys.txt"
set sys_cstring "sys rom custom string placeholder";
sysid_gen_sys_init_file $sys_cstring;

View File

@ -215,6 +215,7 @@ module system_top (
.sys_gpio_bd_out_port (sys_gpio_bd_o), .sys_gpio_bd_out_port (sys_gpio_bd_o),
.sys_gpio_in_export (sys_gpio_i), .sys_gpio_in_export (sys_gpio_i),
.sys_gpio_out_export (sys_gpio_o), .sys_gpio_out_export (sys_gpio_o),
.pr_rom_data_nc_rom_data('h0),
.sys_hps_h2f_reset_reset_n (sys_resetn), .sys_hps_h2f_reset_reset_n (sys_resetn),
.sys_hps_hps_io_hps_io_emac1_inst_TX_CLK (eth1_tx_clk), .sys_hps_hps_io_hps_io_emac1_inst_TX_CLK (eth1_tx_clk),
.sys_hps_hps_io_hps_io_emac1_inst_TXD0 (eth1_tx_d[0]), .sys_hps_hps_io_hps_io_emac1_inst_TXD0 (eth1_tx_d[0]),

View File

@ -1,5 +1,14 @@
source $ad_hdl_dir/projects/scripts/adi_pd_intel.tcl
source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl
source ../common/cn0506_qsys.tcl source ../common/cn0506_qsys.tcl
#system ID
set_instance_parameter_value axi_sysid_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {PATH_TO_FILE} "[pwd]/mem_init_sys.txt"
set sys_cstring "sys rom custom string placeholder";
sysid_gen_sys_init_file $sys_cstring;

View File

@ -227,6 +227,7 @@ module system_top (
.sys_gpio_bd_out_port (gpio_o[31:0]), .sys_gpio_bd_out_port (gpio_o[31:0]),
.sys_gpio_in_export (gpio_i[63:32]), .sys_gpio_in_export (gpio_i[63:32]),
.sys_gpio_out_export (gpio_o[63:32]), .sys_gpio_out_export (gpio_o[63:32]),
.pr_rom_data_nc_rom_data('h0),
.sys_hps_ddr_mem_ck (hps_ddr_clk_p), .sys_hps_ddr_mem_ck (hps_ddr_clk_p),
.sys_hps_ddr_mem_ck_n (hps_ddr_clk_n), .sys_hps_ddr_mem_ck_n (hps_ddr_clk_n),
.sys_hps_ddr_mem_a (hps_ddr_a), .sys_hps_ddr_mem_a (hps_ddr_a),

View File

@ -1,5 +1,14 @@
source $ad_hdl_dir/projects/scripts/adi_pd_intel.tcl
source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl
source ../common/cn0506_qsys.tcl source ../common/cn0506_qsys.tcl
#system ID
set_instance_parameter_value axi_sysid_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {PATH_TO_FILE} "[pwd]/mem_init_sys.txt"
set sys_cstring "sys rom custom string placeholder";
sysid_gen_sys_init_file $sys_cstring;

View File

@ -251,6 +251,7 @@ module system_top (
.sys_gpio_in_export (gpio_i[63:32]), .sys_gpio_in_export (gpio_i[63:32]),
.sys_gpio_out_export (gpio_o[63:32]), .sys_gpio_out_export (gpio_o[63:32]),
.sys_hps_ddr_mem_ck (hps_ddr_clk_p), .sys_hps_ddr_mem_ck (hps_ddr_clk_p),
.pr_rom_data_nc_rom_data('h0),
.sys_hps_ddr_mem_ck_n (hps_ddr_clk_n), .sys_hps_ddr_mem_ck_n (hps_ddr_clk_n),
.sys_hps_ddr_mem_a (hps_ddr_a), .sys_hps_ddr_mem_a (hps_ddr_a),
.sys_hps_ddr_mem_act_n (hps_ddr_act_n), .sys_hps_ddr_mem_act_n (hps_ddr_act_n),

View File

@ -399,6 +399,20 @@ add_connection sys_clk.clk sys_spi.clk
add_interface sys_spi conduit end add_interface sys_spi conduit end
set_interface_property sys_spi EXPORT_OF sys_spi.external set_interface_property sys_spi EXPORT_OF sys_spi.external
# system id
add_instance axi_sysid_0 axi_sysid
add_instance rom_sys_0 sysid_rom
add_connection axi_sysid_0.if_rom_addr rom_sys_0.if_rom_addr
add_connection rom_sys_0.if_rom_data axi_sysid_0.if_sys_rom_data
add_connection sys_clk.clk rom_sys_0.if_clk
add_connection sys_clk.clk axi_sysid_0.s_axi_clock
add_connection sys_clk.clk_reset axi_sysid_0.s_axi_reset
add_interface pr_rom_data_nc conduit end
set_interface_property pr_rom_data_nc EXPORT_OF axi_sysid_0.if_pr_rom_data
# base-addresses # base-addresses
ad_cpu_interconnect 0x00180800 sys_cpu.debug_mem_slave ad_cpu_interconnect 0x00180800 sys_cpu.debug_mem_slave
@ -417,6 +431,7 @@ ad_cpu_interconnect 0x001814d0 sys_gpio_bd.s1
ad_cpu_interconnect 0x001814c0 sys_gpio_in.s1 ad_cpu_interconnect 0x001814c0 sys_gpio_in.s1
ad_cpu_interconnect 0x00181500 sys_gpio_out.s1 ad_cpu_interconnect 0x00181500 sys_gpio_out.s1
ad_cpu_interconnect 0x00181400 sys_spi.spi_control_port ad_cpu_interconnect 0x00181400 sys_spi.spi_control_port
ad_cpu_interconnect 0x00190000 axi_sysid_0.s_axi
# dma interconnects # dma interconnects

View File

@ -247,12 +247,27 @@ add_connection sys_clk.clk sys_spi.clk
add_interface sys_spi conduit end add_interface sys_spi conduit end
set_interface_property sys_spi EXPORT_OF sys_spi.external set_interface_property sys_spi EXPORT_OF sys_spi.external
# system id
add_instance axi_sysid_0 axi_sysid
add_instance rom_sys_0 sysid_rom
add_connection axi_sysid_0.if_rom_addr rom_sys_0.if_rom_addr
add_connection rom_sys_0.if_rom_data axi_sysid_0.if_sys_rom_data
add_connection sys_clk.clk rom_sys_0.if_clk
add_connection sys_clk.clk axi_sysid_0.s_axi_clock
add_connection sys_clk.clk_reset axi_sysid_0.s_axi_reset
add_interface pr_rom_data_nc conduit end
set_interface_property pr_rom_data_nc EXPORT_OF axi_sysid_0.if_pr_rom_data
# base-addresses # base-addresses
ad_cpu_interconnect 0x000000d0 sys_gpio_bd.s1 ad_cpu_interconnect 0x000000d0 sys_gpio_bd.s1
ad_cpu_interconnect 0x00000000 sys_gpio_in.s1 ad_cpu_interconnect 0x00000000 sys_gpio_in.s1
ad_cpu_interconnect 0x00000020 sys_gpio_out.s1 ad_cpu_interconnect 0x00000020 sys_gpio_out.s1
ad_cpu_interconnect 0x00000040 sys_spi.spi_control_port ad_cpu_interconnect 0x00000040 sys_spi.spi_control_port
ad_cpu_interconnect 0x00018000 axi_sysid_0.s_axi
# interrupts # interrupts

View File

@ -291,6 +291,20 @@ add_connection sys_clk.clk_reset sys_spi.reset
add_interface sys_spi conduit end add_interface sys_spi conduit end
set_interface_property sys_spi EXPORT_OF sys_spi.external set_interface_property sys_spi EXPORT_OF sys_spi.external
# system id
add_instance axi_sysid_0 axi_sysid
add_instance rom_sys_0 sysid_rom
add_connection axi_sysid_0.if_rom_addr rom_sys_0.if_rom_addr
add_connection rom_sys_0.if_rom_data axi_sysid_0.if_sys_rom_data
add_connection sys_clk.clk rom_sys_0.if_clk
add_connection sys_clk.clk axi_sysid_0.s_axi_clock
add_connection sys_clk.clk_reset axi_sysid_0.s_axi_reset
add_interface pr_rom_data_nc conduit end
set_interface_property pr_rom_data_nc EXPORT_OF axi_sysid_0.if_pr_rom_data
# interrupts # interrupts
ad_cpu_interrupt 0 sys_gpio_bd.irq ad_cpu_interrupt 0 sys_gpio_bd.irq
@ -305,4 +319,4 @@ ad_cpu_interconnect 0x00010000 sys_id.control_slave
ad_cpu_interconnect 0x00010080 sys_gpio_bd.s1 ad_cpu_interconnect 0x00010080 sys_gpio_bd.s1
ad_cpu_interconnect 0x00010100 sys_gpio_in.s1 ad_cpu_interconnect 0x00010100 sys_gpio_in.s1
ad_cpu_interconnect 0x00109000 sys_gpio_out.s1 ad_cpu_interconnect 0x00109000 sys_gpio_out.s1
ad_cpu_interconnect 0x00018000 axi_sysid_0.s_axi

View File

@ -207,6 +207,20 @@ add_connection sys_clk.clk_reset sys_spi.reset
add_interface sys_spi conduit end add_interface sys_spi conduit end
set_interface_property sys_spi EXPORT_OF sys_spi.external set_interface_property sys_spi EXPORT_OF sys_spi.external
# system id
add_instance axi_sysid_0 axi_sysid
add_instance rom_sys_0 sysid_rom
add_connection axi_sysid_0.if_rom_addr rom_sys_0.if_rom_addr
add_connection rom_sys_0.if_rom_data axi_sysid_0.if_sys_rom_data
add_connection sys_clk.clk rom_sys_0.if_clk
add_connection sys_clk.clk axi_sysid_0.s_axi_clock
add_connection sys_clk.clk_reset axi_sysid_0.s_axi_reset
add_interface pr_rom_data_nc conduit end
set_interface_property pr_rom_data_nc EXPORT_OF axi_sysid_0.if_pr_rom_data
# interrupts # interrupts
ad_cpu_interrupt 0 sys_gpio_bd.irq ad_cpu_interrupt 0 sys_gpio_bd.irq
@ -219,4 +233,5 @@ ad_cpu_interconnect 0x00010000 sys_id.control_slave
ad_cpu_interconnect 0x00010080 sys_gpio_bd.s1 ad_cpu_interconnect 0x00010080 sys_gpio_bd.s1
ad_cpu_interconnect 0x00010100 sys_gpio_in.s1 ad_cpu_interconnect 0x00010100 sys_gpio_in.s1
ad_cpu_interconnect 0x00109000 sys_gpio_out.s1 ad_cpu_interconnect 0x00109000 sys_gpio_out.s1
ad_cpu_interconnect 0x00018000 axi_sysid_0.s_axi

View File

@ -32,6 +32,17 @@
set dac_fifo_address_width 13 set dac_fifo_address_width 13
source $ad_hdl_dir/projects/scripts/adi_pd_intel.tcl
source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl
source $ad_hdl_dir/projects/common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl
source ../common/dac_fmc_ebz_qsys.tcl source ../common/dac_fmc_ebz_qsys.tcl
#system ID
set_instance_parameter_value axi_sysid_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {PATH_TO_FILE} "[pwd]/mem_init_sys.txt"
set sys_cstring "sys rom custom string placeholder";
sysid_gen_sys_init_file $sys_cstring;

View File

@ -223,6 +223,7 @@ module system_top #(
.sys_gpio_bd_out_port (gpio_o[31:0]), .sys_gpio_bd_out_port (gpio_o[31:0]),
.sys_gpio_in_export (gpio_i[63:32]), .sys_gpio_in_export (gpio_i[63:32]),
.sys_gpio_out_export (gpio_o[63:32]), .sys_gpio_out_export (gpio_o[63:32]),
.pr_rom_data_nc_rom_data('h0),
.sys_hps_ddr_mem_ck (hps_ddr_clk_p), .sys_hps_ddr_mem_ck (hps_ddr_clk_p),
.sys_hps_ddr_mem_ck_n (hps_ddr_clk_n), .sys_hps_ddr_mem_ck_n (hps_ddr_clk_n),
.sys_hps_ddr_mem_a (hps_ddr_a), .sys_hps_ddr_mem_a (hps_ddr_a),

View File

@ -1,7 +1,18 @@
set dac_fifo_address_width 10 set dac_fifo_address_width 10
source $ad_hdl_dir/projects/scripts/adi_pd_intel.tcl
source $ad_hdl_dir/projects/common/a10gx/a10gx_system_qsys.tcl source $ad_hdl_dir/projects/common/a10gx/a10gx_system_qsys.tcl
source $ad_hdl_dir/projects/common/intel/dacfifo_qsys.tcl source $ad_hdl_dir/projects/common/intel/dacfifo_qsys.tcl
source ../common/daq2_qsys.tcl source ../common/daq2_qsys.tcl
#system ID
set_instance_parameter_value axi_sysid_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {PATH_TO_FILE} "[pwd]/mem_init_sys.txt"
set sys_cstring "sys rom custom string placeholder";
sysid_gen_sys_init_file $sys_cstring;

View File

@ -232,6 +232,7 @@ module system_top (
.sys_gpio_bd_out_port (gpio_o[31:0]), .sys_gpio_bd_out_port (gpio_o[31:0]),
.sys_gpio_in_export (gpio_i[63:32]), .sys_gpio_in_export (gpio_i[63:32]),
.sys_gpio_out_export (gpio_o[63:32]), .sys_gpio_out_export (gpio_o[63:32]),
.pr_rom_data_nc_rom_data('h0),
.sys_rst_reset_n (sys_resetn), .sys_rst_reset_n (sys_resetn),
.sys_spi_MISO (spi_miso_s), .sys_spi_MISO (spi_miso_s),
.sys_spi_MOSI (spi_mosi_s), .sys_spi_MOSI (spi_mosi_s),

View File

@ -1,7 +1,17 @@
set dac_fifo_address_width 10 set dac_fifo_address_width 10
source $ad_hdl_dir/projects/scripts/adi_pd_intel.tcl
source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_system_qsys.tcl
source $ad_hdl_dir/projects/common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl source $ad_hdl_dir/projects/common/a10soc/a10soc_plddr4_dacfifo_qsys.tcl
source ../common/daq2_qsys.tcl source ../common/daq2_qsys.tcl
#system ID
set_instance_parameter_value axi_sysid_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {PATH_TO_FILE} "[pwd]/mem_init_sys.txt"
set sys_cstring "sys rom custom string placeholder";
sysid_gen_sys_init_file $sys_cstring;

View File

@ -251,6 +251,7 @@ module system_top (
.sys_gpio_bd_out_port (gpio_o[31:0]), .sys_gpio_bd_out_port (gpio_o[31:0]),
.sys_gpio_in_export (gpio_i[63:32]), .sys_gpio_in_export (gpio_i[63:32]),
.sys_gpio_out_export (gpio_o[63:32]), .sys_gpio_out_export (gpio_o[63:32]),
.pr_rom_data_nc_rom_data('h0),
.sys_hps_ddr_mem_ck (hps_ddr_clk_p), .sys_hps_ddr_mem_ck (hps_ddr_clk_p),
.sys_hps_ddr_mem_ck_n (hps_ddr_clk_n), .sys_hps_ddr_mem_ck_n (hps_ddr_clk_n),
.sys_hps_ddr_mem_a (hps_ddr_a), .sys_hps_ddr_mem_a (hps_ddr_a),

View File

@ -1,7 +1,17 @@
set dac_fifo_address_width 10 set dac_fifo_address_width 10
source $ad_hdl_dir/projects/scripts/adi_pd_intel.tcl
source $ad_hdl_dir/projects/common/a10gx/a10gx_system_qsys.tcl source $ad_hdl_dir/projects/common/a10gx/a10gx_system_qsys.tcl
source $ad_hdl_dir/projects/common/intel/dacfifo_qsys.tcl source $ad_hdl_dir/projects/common/intel/dacfifo_qsys.tcl
source ../common/daq3_qsys.tcl source ../common/daq3_qsys.tcl
#system ID
set_instance_parameter_value axi_sysid_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {ROM_ADDR_BITS} {9}
set_instance_parameter_value rom_sys_0 {PATH_TO_FILE} "[pwd]/mem_init_sys.txt"
set sys_cstring "sys rom custom string placeholder";
sysid_gen_sys_init_file $sys_cstring;

View File

@ -219,6 +219,7 @@ module system_top (
.sys_gpio_out_export (gpio_o[63:32]), .sys_gpio_out_export (gpio_o[63:32]),
.sys_gpio_bd_in_port (gpio_i[31:0]), .sys_gpio_bd_in_port (gpio_i[31:0]),
.sys_gpio_bd_out_port (gpio_o[31:0]), .sys_gpio_bd_out_port (gpio_o[31:0]),
.pr_rom_data_nc_rom_data('h0),
.sys_spi_MISO (spi_miso_s), .sys_spi_MISO (spi_miso_s),
.sys_spi_MOSI (spi_mosi_s), .sys_spi_MOSI (spi_mosi_s),
.sys_spi_SCLK (spi_clk), .sys_spi_SCLK (spi_clk),