daq2- board system only

main
Rejeesh Kutty 2015-07-20 09:30:32 -04:00
parent a87b8fbf94
commit 2f53dc4412
1 changed files with 901 additions and 0 deletions

901
projects/daq2/common/daq2_bd.qsys Executable file
View File

@ -0,0 +1,901 @@
<?xml version="1.0" encoding="UTF-8"?>
<system name="$${FILENAME}">
<component
name="$${FILENAME}"
displayName="$${FILENAME}"
version="1.0"
description=""
tags=""
categories="System" />
<parameter name="bonusData"><![CDATA[bonusData
{
element $${FILENAME}
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element axi_ad9144_core
{
datum _sortIndex
{
value = "9";
type = "int";
}
}
element axi_ad9144_core.s_axi
{
datum baseAddress
{
value = "269025280";
type = "String";
}
}
element axi_ad9144_dma
{
datum _sortIndex
{
value = "7";
type = "int";
}
}
element axi_ad9144_dma.s_axi
{
datum baseAddress
{
value = "269156352";
type = "String";
}
}
element axi_ad9680_core
{
datum _sortIndex
{
value = "6";
type = "int";
}
}
element axi_ad9680_core.s_axi
{
datum baseAddress
{
value = "269090816";
type = "String";
}
}
element axi_ad9680_dma
{
datum _sortIndex
{
value = "4";
type = "int";
}
datum sopceditor_expanded
{
value = "1";
type = "boolean";
}
}
element axi_ad9680_dma.if_fifo_wr_sync
{
datum _tags
{
value = "";
type = "String";
}
}
element axi_ad9680_dma.s_axi
{
datum baseAddress
{
value = "269172736";
type = "String";
}
}
element axi_jesd_xcvr
{
datum _sortIndex
{
value = "10";
type = "int";
}
}
element axi_jesd_xcvr.s_axi
{
datum baseAddress
{
value = "268959744";
type = "String";
}
}
element mem_clk
{
datum _sortIndex
{
value = "2";
type = "int";
}
}
element mem_rst
{
datum _sortIndex
{
value = "3";
type = "int";
}
}
element sys_clk
{
datum _sortIndex
{
value = "0";
type = "int";
}
}
element sys_rst
{
datum _sortIndex
{
value = "1";
type = "int";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element util_cpack_0
{
datum _sortIndex
{
value = "5";
type = "int";
}
}
element util_cpack_0.if_adc_rst
{
datum _tags
{
value = "";
type = "String";
}
}
element util_cpack_0.if_adc_sync
{
datum _tags
{
value = "";
type = "String";
}
}
element util_upack_0
{
datum _sortIndex
{
value = "8";
type = "int";
}
}
}
]]></parameter>
<parameter name="clockCrossingAdapter" value="FIFO" />
<parameter name="device" value="10AX115S3F45I2SGE2" />
<parameter name="deviceFamily" value="Arria 10" />
<parameter name="deviceSpeedGrade" value="2" />
<parameter name="fabricMode" value="QSYS" />
<parameter name="generateLegacySim" value="false" />
<parameter name="generationId" value="0" />
<parameter name="globalResetBus" value="false" />
<parameter name="hdlLanguage" value="VERILOG" />
<parameter name="hideFromIPCatalog" value="false" />
<parameter name="lockedInterfaceDefinition" value="" />
<parameter name="maxAdditionalLatency" value="2" />
<parameter name="projectName" value="daq2_a10gx.qpf" />
<parameter name="sopcBorderPoints" value="false" />
<parameter name="systemHash" value="0" />
<parameter name="testBenchDutName" value="" />
<parameter name="timeStamp" value="0" />
<parameter name="useTestBenchNamingPattern" value="false" />
<instanceScript></instanceScript>
<interface
name="axi_ad9144_core_s_axi"
internal="axi_ad9144_core.s_axi"
type="axi4lite"
dir="end" />
<interface
name="axi_ad9144_dma_intr"
internal="axi_ad9144_dma.interrupt_sender"
type="interrupt"
dir="end" />
<interface
name="axi_ad9144_dma_m_axi"
internal="axi_ad9144_dma.m_src_axi"
type="axi4"
dir="start" />
<interface
name="axi_ad9144_dma_s_axi"
internal="axi_ad9144_dma.s_axi"
type="axi4lite"
dir="end" />
<interface
name="axi_ad9680_core_s_axi"
internal="axi_ad9680_core.s_axi"
type="axi4lite"
dir="end" />
<interface
name="axi_ad9680_dma_intr"
internal="axi_ad9680_dma.interrupt_sender"
type="interrupt"
dir="end" />
<interface
name="axi_ad9680_dma_m_axi"
internal="axi_ad9680_dma.m_dest_axi"
type="axi4"
dir="start" />
<interface
name="axi_ad9680_dma_s_axi"
internal="axi_ad9680_dma.s_axi"
type="axi4lite"
dir="end" />
<interface
name="axi_jesd_xcvr_s_axi"
internal="axi_jesd_xcvr.s_axi"
type="axi4lite"
dir="end" />
<interface name="mem_clk" internal="mem_clk.in_clk" type="clock" dir="end" />
<interface name="mem_rst" internal="mem_rst.in_reset" type="reset" dir="end" />
<interface
name="rx_data"
internal="axi_jesd_xcvr.if_rx_d"
type="conduit"
dir="end" />
<interface
name="rx_ref_clk"
internal="axi_jesd_xcvr.if_rx_ref_clk"
type="clock"
dir="end" />
<interface
name="rx_sync"
internal="axi_jesd_xcvr.if_rx_sync"
type="conduit"
dir="end" />
<interface
name="rx_sysref"
internal="axi_jesd_xcvr.if_rx_ext_sysref_in"
type="conduit"
dir="end" />
<interface name="stp_trigger" internal="axi_jesd_xcvr.if_stp_trigger" />
<interface name="sys_clk" internal="sys_clk.in_clk" type="clock" dir="end" />
<interface name="sys_rst" internal="sys_rst.in_reset" type="reset" dir="end" />
<interface
name="tx_data"
internal="axi_jesd_xcvr.if_tx_d"
type="conduit"
dir="end" />
<interface
name="tx_ref_clk"
internal="axi_jesd_xcvr.if_tx_ref_clk"
type="clock"
dir="end" />
<interface
name="tx_sync"
internal="axi_jesd_xcvr.if_tx_sync"
type="conduit"
dir="end" />
<interface
name="tx_sysref"
internal="axi_jesd_xcvr.if_tx_ext_sysref_in"
type="conduit"
dir="end" />
<module name="axi_ad9144_core" kind="axi_ad9144" version="1.0" enabled="1">
<parameter name="PCORE_ID" value="0" />
<parameter name="PCORE_QUAD_DUAL_N" value="0" />
</module>
<module name="axi_ad9144_dma" kind="axi_dmac" version="1.0" enabled="1">
<parameter name="C_2D_TRANSFER" value="1" />
<parameter name="C_AXI_SLICE_DEST" value="0" />
<parameter name="C_AXI_SLICE_SRC" value="0" />
<parameter name="C_CLKS_ASYNC_DEST_REQ" value="1" />
<parameter name="C_CLKS_ASYNC_REQ_SRC" value="1" />
<parameter name="C_CLKS_ASYNC_SRC_DEST" value="1" />
<parameter name="C_CYCLIC" value="1" />
<parameter name="C_DMA_DATA_WIDTH_DEST" value="128" />
<parameter name="C_DMA_DATA_WIDTH_SRC" value="128" />
<parameter name="C_DMA_LENGTH_WIDTH" value="14" />
<parameter name="C_DMA_TYPE_DEST" value="2" />
<parameter name="C_DMA_TYPE_SRC" value="0" />
<parameter name="C_SYNC_TRANSFER_START" value="0" />
<parameter name="PCORE_ID" value="1" />
</module>
<module name="axi_ad9680_core" kind="axi_ad9680" version="1.0" enabled="1">
<parameter name="PCORE_ID" value="0" />
</module>
<module name="axi_ad9680_dma" kind="axi_dmac" version="1.0" enabled="1">
<parameter name="C_2D_TRANSFER" value="1" />
<parameter name="C_AXI_SLICE_DEST" value="0" />
<parameter name="C_AXI_SLICE_SRC" value="0" />
<parameter name="C_CLKS_ASYNC_DEST_REQ" value="1" />
<parameter name="C_CLKS_ASYNC_REQ_SRC" value="1" />
<parameter name="C_CLKS_ASYNC_SRC_DEST" value="1" />
<parameter name="C_CYCLIC" value="1" />
<parameter name="C_DMA_DATA_WIDTH_DEST" value="128" />
<parameter name="C_DMA_DATA_WIDTH_SRC" value="128" />
<parameter name="C_DMA_LENGTH_WIDTH" value="14" />
<parameter name="C_DMA_TYPE_DEST" value="0" />
<parameter name="C_DMA_TYPE_SRC" value="2" />
<parameter name="C_SYNC_TRANSFER_START" value="0" />
<parameter name="PCORE_ID" value="0" />
</module>
<module name="axi_jesd_xcvr" kind="axi_jesd_xcvr" version="1.0" enabled="1">
<parameter name="PCORE_DEVICE_TYPE" value="0" />
<parameter name="PCORE_ID" value="0" />
<parameter name="PCORE_NUM_OF_RX_LANES" value="4" />
<parameter name="PCORE_NUM_OF_TX_LANES" value="4" />
</module>
<module name="mem_clk" kind="altera_clock_bridge" version="15.0" enabled="1">
<parameter name="DERIVED_CLOCK_RATE" value="0" />
<parameter name="EXPLICIT_CLOCK_RATE" value="125000000" />
<parameter name="NUM_CLOCK_OUTPUTS" value="1" />
</module>
<module name="mem_rst" kind="altera_reset_bridge" version="15.0" enabled="1">
<parameter name="ACTIVE_LOW_RESET" value="0" />
<parameter name="AUTO_CLK_CLOCK_RATE" value="125000000" />
<parameter name="NUM_RESET_OUTPUTS" value="1" />
<parameter name="SYNCHRONOUS_EDGES" value="deassert" />
<parameter name="USE_RESET_REQUEST" value="0" />
</module>
<module name="sys_clk" kind="altera_clock_bridge" version="15.0" enabled="1">
<parameter name="DERIVED_CLOCK_RATE" value="0" />
<parameter name="EXPLICIT_CLOCK_RATE" value="100000000" />
<parameter name="NUM_CLOCK_OUTPUTS" value="1" />
</module>
<module name="sys_rst" kind="altera_reset_bridge" version="15.0" enabled="1">
<parameter name="ACTIVE_LOW_RESET" value="0" />
<parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
<parameter name="NUM_RESET_OUTPUTS" value="1" />
<parameter name="SYNCHRONOUS_EDGES" value="deassert" />
<parameter name="USE_RESET_REQUEST" value="0" />
</module>
<module name="util_cpack_0" kind="util_cpack" version="1.0" enabled="1">
<parameter name="CH_CNT" value="2" />
<parameter name="CH_DW" value="64" />
</module>
<module name="util_upack_0" kind="util_upack" version="1.0" enabled="1">
<parameter name="CH_CNT" value="2" />
<parameter name="CH_DW" value="64" />
</module>
<connection
kind="clock"
version="15.0"
start="axi_jesd_xcvr.if_rx_clk"
end="util_cpack_0.if_adc_clk" />
<connection
kind="clock"
version="15.0"
start="axi_jesd_xcvr.if_rx_clk"
end="axi_ad9680_dma.if_fifo_wr_clk" />
<connection
kind="clock"
version="15.0"
start="axi_jesd_xcvr.if_rx_clk"
end="axi_ad9680_core.if_rx_clk" />
<connection
kind="clock"
version="15.0"
start="axi_jesd_xcvr.if_tx_clk"
end="util_upack_0.if_dac_clk" />
<connection
kind="clock"
version="15.0"
start="axi_jesd_xcvr.if_tx_clk"
end="axi_ad9144_dma.if_fifo_rd_clk" />
<connection
kind="clock"
version="15.0"
start="axi_jesd_xcvr.if_tx_clk"
end="axi_ad9144_core.if_tx_clk" />
<connection kind="clock" version="15.0" start="sys_clk.out_clk" end="sys_rst.clk" />
<connection kind="clock" version="15.0" start="mem_clk.out_clk" end="mem_rst.clk" />
<connection
kind="clock"
version="15.0"
start="mem_clk.out_clk"
end="axi_ad9680_dma.m_dest_axi_clock" />
<connection
kind="clock"
version="15.0"
start="mem_clk.out_clk"
end="axi_ad9144_dma.m_src_axi_clock" />
<connection
kind="clock"
version="15.0"
start="sys_clk.out_clk"
end="axi_ad9680_dma.s_axi_clock" />
<connection
kind="clock"
version="15.0"
start="sys_clk.out_clk"
end="axi_ad9680_core.s_axi_clock" />
<connection
kind="clock"
version="15.0"
start="sys_clk.out_clk"
end="axi_ad9144_core.s_axi_clock" />
<connection
kind="clock"
version="15.0"
start="sys_clk.out_clk"
end="axi_jesd_xcvr.s_axi_clock" />
<connection
kind="clock"
version="15.0"
start="sys_clk.out_clk"
end="axi_ad9144_dma.s_axi_clock" />
<connection
kind="conduit"
version="15.0"
start="axi_ad9680_core.if_adc_data_0"
end="util_cpack_0.if_adc_data_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9680_core.if_adc_data_1"
end="util_cpack_0.if_adc_data_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9680_core.if_adc_dovf"
end="axi_ad9680_dma.if_fifo_wr_overflow">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_cpack_0.if_adc_enable_0"
end="axi_ad9680_core.if_adc_enable_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9680_core.if_adc_enable_1"
end="util_cpack_0.if_adc_enable_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_cpack_0.if_adc_sync"
end="axi_ad9680_dma.if_fifo_wr_sync">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_cpack_0.if_adc_valid"
end="axi_ad9680_dma.if_fifo_wr_en">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9680_core.if_adc_valid_0"
end="util_cpack_0.if_adc_valid_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_cpack_0.if_adc_valid_1"
end="axi_ad9680_core.if_adc_valid_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9144_core.if_dac_ddata_0"
end="util_upack_0.if_dac_data_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9144_core.if_dac_ddata_1"
end="util_upack_0.if_dac_data_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9144_core.if_dac_enable_0"
end="util_upack_0.if_dac_enable_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9144_core.if_dac_enable_1"
end="util_upack_0.if_dac_enable_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_upack_0.if_dac_valid"
end="axi_ad9144_dma.if_fifo_rd_en">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9144_core.if_dac_valid_0"
end="util_upack_0.if_dac_valid_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9144_core.if_dac_valid_1"
end="util_upack_0.if_dac_valid_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9144_dma.if_fifo_rd_dout"
end="util_upack_0.if_dac_data">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9144_dma.if_fifo_rd_underflow"
end="axi_ad9144_core.if_dac_dunf">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9680_dma.if_fifo_wr_din"
end="util_cpack_0.if_adc_data">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9680_core.if_rx_data"
end="axi_jesd_xcvr.if_rx_data">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_jesd_xcvr.if_tx_data"
end="axi_ad9144_core.if_tx_data">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="reset"
version="15.0"
start="mem_rst.out_reset"
end="axi_ad9680_dma.m_dest_axi_reset" />
<connection
kind="reset"
version="15.0"
start="mem_rst.out_reset"
end="axi_ad9144_dma.m_src_axi_reset" />
<connection
kind="reset"
version="15.0"
start="sys_rst.out_reset"
end="axi_jesd_xcvr.s_axi_reset" />
<connection
kind="reset"
version="15.0"
start="sys_rst.out_reset"
end="axi_ad9144_core.s_axi_reset" />
<connection
kind="reset"
version="15.0"
start="sys_rst.out_reset"
end="axi_ad9680_core.s_axi_reset" />
<connection
kind="reset"
version="15.0"
start="sys_rst.out_reset"
end="axi_ad9680_dma.s_axi_reset" />
<connection
kind="reset"
version="15.0"
start="sys_rst.out_reset"
end="axi_ad9144_dma.s_axi_reset" />
<interconnectRequirement for="$system" name="qsys_mm.clockCrossingAdapter" value="FIFO" />
<interconnectRequirement for="$system" name="qsys_mm.insertDefaultSlave" value="false" />
<interconnectRequirement for="$system" name="qsys_mm.maxAdditionalLatency" value="2" />
</system>