From 2d7fb03b934e300e75890511d3daf9c1189a2070 Mon Sep 17 00:00:00 2001 From: Rejeesh Kutty Date: Tue, 6 Dec 2016 12:30:59 -0500 Subject: [PATCH] adrv9371x/a10gx- fix os xcvr parameters --- projects/adrv9371x/a10gx/system_constr.sdc | 3 +-- projects/adrv9371x/common/adrv9371x_qsys.tcl | 8 ++++---- 2 files changed, 5 insertions(+), 6 deletions(-) diff --git a/projects/adrv9371x/a10gx/system_constr.sdc b/projects/adrv9371x/a10gx/system_constr.sdc index e4b436327..029e779b7 100644 --- a/projects/adrv9371x/a10gx/system_constr.sdc +++ b/projects/adrv9371x/a10gx/system_constr.sdc @@ -12,7 +12,7 @@ set_false_path -from [get_clocks {sys_clk_100mhz}]\ set_false_path -from [get_clocks {sys_clk_100mhz}]\ -through [get_nets *altera_jesd204_tx_ctl_inst*]\ - -to [get_clocks {i_system_bd|avl_ad9371_tx_xcvr|alt_core_pll|outclk0k}] + -to [get_clocks {i_system_bd|avl_ad9371_tx_xcvr|alt_core_pll|outclk0}] set_false_path -from [get_clocks {sys_clk_100mhz}]\ -through [get_nets *altera_jesd204_rx_csr_inst*]\ @@ -38,4 +38,3 @@ set_false_path -from [get_clocks {i_system_bd|avl_ad9371_rx_os_xcvr|alt_core_pll -through [get_nets *altera_jesd204_rx_csr_inst*]\ -to [get_clocks {sys_clk_100mhz}] - diff --git a/projects/adrv9371x/common/adrv9371x_qsys.tcl b/projects/adrv9371x/common/adrv9371x_qsys.tcl index 01df30849..c3e1428ec 100644 --- a/projects/adrv9371x/common/adrv9371x_qsys.tcl +++ b/projects/adrv9371x/common/adrv9371x_qsys.tcl @@ -99,10 +99,10 @@ add_instance avl_ad9371_rx_os_xcvr avl_adxcvr 1.0 set_instance_parameter_value avl_ad9371_rx_os_xcvr {ID} {1} set_instance_parameter_value avl_ad9371_rx_os_xcvr {TX_OR_RX_N} {0} set_instance_parameter_value avl_ad9371_rx_os_xcvr {PCS_CONFIG} {JESD_PCS_CFG2} -set_instance_parameter_value avl_ad9371_rx_os_xcvr {LANE_RATE} {10000.0} -set_instance_parameter_value avl_ad9371_rx_os_xcvr {PLLCLK_FREQUENCY} {5000.0} -set_instance_parameter_value avl_ad9371_rx_os_xcvr {REFCLK_FREQUENCY} {500.0} -set_instance_parameter_value avl_ad9371_rx_os_xcvr {CORECLK_FREQUENCY} {250.0} +set_instance_parameter_value avl_ad9371_rx_os_xcvr {LANE_RATE} {4915.2} +set_instance_parameter_value avl_ad9371_rx_os_xcvr {PLLCLK_FREQUENCY} {2457.6} +set_instance_parameter_value avl_ad9371_rx_os_xcvr {REFCLK_FREQUENCY} {122.88} +set_instance_parameter_value avl_ad9371_rx_os_xcvr {CORECLK_FREQUENCY} {122.88} set_instance_parameter_value avl_ad9371_rx_os_xcvr {NUM_OF_LANES} {2} set_instance_parameter_value avl_ad9371_rx_os_xcvr {NUM_OF_CONVS} {2} set_instance_parameter_value avl_ad9371_rx_os_xcvr {FRM_BCNT} {2}