From 2b7c976be5c13e1493aab1dede8b1318b341d433 Mon Sep 17 00:00:00 2001 From: Rejeesh Kutty Date: Thu, 4 Aug 2016 13:26:10 -0400 Subject: [PATCH] xcvr- altera/xilinx split --- library/altera/Makefile | 151 ++++++++++++++++++ library/xilinx/Makefile | 151 ++++++++++++++++++ library/{ => xilinx}/axi_adxcvr/Makefile | 0 library/{ => xilinx}/axi_adxcvr/axi_adxcvr.v | 0 .../{ => xilinx}/axi_adxcvr/axi_adxcvr_es.v | 0 .../{ => xilinx}/axi_adxcvr/axi_adxcvr_ip.tcl | 2 +- .../{ => xilinx}/axi_adxcvr/axi_adxcvr_mdrp.v | 0 .../axi_adxcvr/axi_adxcvr_mstatus.v | 0 .../{ => xilinx}/axi_adxcvr/axi_adxcvr_up.v | 0 library/{ => xilinx}/util_adxcvr/Makefile | 0 .../{ => xilinx}/util_adxcvr/util_adxcvr.v | 0 .../util_adxcvr/util_adxcvr_constr.xdc | 0 .../util_adxcvr/util_adxcvr_ip.tcl | 0 .../util_adxcvr/util_adxcvr_xch.v | 0 .../util_adxcvr/util_adxcvr_xcm.v | 0 15 files changed, 303 insertions(+), 1 deletion(-) create mode 100644 library/altera/Makefile create mode 100644 library/xilinx/Makefile rename library/{ => xilinx}/axi_adxcvr/Makefile (100%) rename library/{ => xilinx}/axi_adxcvr/axi_adxcvr.v (100%) rename library/{ => xilinx}/axi_adxcvr/axi_adxcvr_es.v (100%) rename library/{ => xilinx}/axi_adxcvr/axi_adxcvr_ip.tcl (99%) rename library/{ => xilinx}/axi_adxcvr/axi_adxcvr_mdrp.v (100%) rename library/{ => xilinx}/axi_adxcvr/axi_adxcvr_mstatus.v (100%) rename library/{ => xilinx}/axi_adxcvr/axi_adxcvr_up.v (100%) rename library/{ => xilinx}/util_adxcvr/Makefile (100%) rename library/{ => xilinx}/util_adxcvr/util_adxcvr.v (100%) rename library/{ => xilinx}/util_adxcvr/util_adxcvr_constr.xdc (100%) rename library/{ => xilinx}/util_adxcvr/util_adxcvr_ip.tcl (100%) rename library/{ => xilinx}/util_adxcvr/util_adxcvr_xch.v (100%) rename library/{ => xilinx}/util_adxcvr/util_adxcvr_xcm.v (100%) diff --git a/library/altera/Makefile b/library/altera/Makefile new file mode 100644 index 000000000..1b40b5171 --- /dev/null +++ b/library/altera/Makefile @@ -0,0 +1,151 @@ +#################################################################################### +#################################################################################### +## Copyright 2011(c) Analog Devices, Inc. +## Auto-generated, do not modify! +#################################################################################### +#################################################################################### + +.PHONY: all lib clean clean-all +all: lib + + +clean: + make -C axi_ad6676 clean + make -C axi_ad7616 clean + make -C axi_ad9122 clean + make -C axi_ad9144 clean + make -C axi_ad9152 clean + make -C axi_ad9162 clean + make -C axi_ad9234 clean + make -C axi_ad9250 clean + make -C axi_ad9265 clean + make -C axi_ad9361 clean + make -C axi_ad9371 clean + make -C axi_ad9434 clean + make -C axi_ad9467 clean + make -C axi_ad9625 clean + make -C axi_ad9643 clean + make -C axi_ad9652 clean + make -C axi_ad9671 clean + make -C axi_ad9680 clean + make -C axi_ad9684 clean + make -C axi_ad9739a clean + make -C axi_adcfifo clean + make -C axi_adxcvr clean + make -C axi_clkgen clean + make -C axi_dacfifo clean + make -C axi_dmac clean + make -C axi_generic_adc clean + make -C axi_gpreg clean + make -C axi_hdmi_rx clean + make -C axi_hdmi_tx clean + make -C axi_i2s_adi clean + make -C axi_jesd_gt clean + make -C axi_mc_controller clean + make -C axi_mc_current_monitor clean + make -C axi_mc_speed clean + make -C axi_spdif_rx clean + make -C axi_spdif_tx clean + make -C axi_usb_fx3 clean + make -C cn0363/cn0363_dma_sequencer clean + make -C cn0363/cn0363_phase_data_sync clean + make -C cordic_demod clean + make -C interfaces clean + make -C spi_engine/axi_spi_engine clean + make -C spi_engine/spi_engine_execution clean + make -C spi_engine/spi_engine_interconnect clean + make -C spi_engine/spi_engine_offload clean + make -C util_adcfifo clean + make -C util_adxcvr clean + make -C util_axis_fifo clean + make -C util_axis_resize clean + make -C util_bsplit clean + make -C util_ccat clean + make -C util_cpack clean + make -C util_dacfifo clean + make -C util_gmii_to_rgmii clean + make -C util_gtlb clean + make -C util_i2c_mixer clean + make -C util_jesd_gt clean + make -C util_mfifo clean + make -C util_pmod_adc clean + make -C util_pmod_fmeter clean + make -C util_rfifo clean + make -C util_sigma_delta_spi clean + make -C util_tdd_sync clean + make -C util_upack clean + make -C util_wfifo clean + + +clean-all:clean + + +lib: + -make -C axi_ad6676 + -make -C axi_ad7616 + -make -C axi_ad9122 + -make -C axi_ad9144 + -make -C axi_ad9152 + -make -C axi_ad9162 + -make -C axi_ad9234 + -make -C axi_ad9250 + -make -C axi_ad9265 + -make -C axi_ad9361 + -make -C axi_ad9371 + -make -C axi_ad9434 + -make -C axi_ad9467 + -make -C axi_ad9625 + -make -C axi_ad9643 + -make -C axi_ad9652 + -make -C axi_ad9671 + -make -C axi_ad9680 + -make -C axi_ad9684 + -make -C axi_ad9739a + -make -C axi_adcfifo + -make -C axi_adxcvr + -make -C axi_clkgen + -make -C axi_dacfifo + -make -C axi_dmac + -make -C axi_generic_adc + -make -C axi_gpreg + -make -C axi_hdmi_rx + -make -C axi_hdmi_tx + -make -C axi_i2s_adi + -make -C axi_jesd_gt + -make -C axi_mc_controller + -make -C axi_mc_current_monitor + -make -C axi_mc_speed + -make -C axi_spdif_rx + -make -C axi_spdif_tx + -make -C axi_usb_fx3 + -make -C cn0363/cn0363_dma_sequencer + -make -C cn0363/cn0363_phase_data_sync + -make -C cordic_demod + -make -C interfaces + -make -C spi_engine/axi_spi_engine + -make -C spi_engine/spi_engine_execution + -make -C spi_engine/spi_engine_interconnect + -make -C spi_engine/spi_engine_offload + -make -C util_adcfifo + -make -C util_adxcvr + -make -C util_axis_fifo + -make -C util_axis_resize + -make -C util_bsplit + -make -C util_ccat + -make -C util_cpack + -make -C util_dacfifo + -make -C util_gmii_to_rgmii + -make -C util_gtlb + -make -C util_i2c_mixer + -make -C util_jesd_gt + -make -C util_mfifo + -make -C util_pmod_adc + -make -C util_pmod_fmeter + -make -C util_rfifo + -make -C util_sigma_delta_spi + -make -C util_tdd_sync + -make -C util_upack + -make -C util_wfifo + +#################################################################################### +#################################################################################### diff --git a/library/xilinx/Makefile b/library/xilinx/Makefile new file mode 100644 index 000000000..1b40b5171 --- /dev/null +++ b/library/xilinx/Makefile @@ -0,0 +1,151 @@ +#################################################################################### +#################################################################################### +## Copyright 2011(c) Analog Devices, Inc. +## Auto-generated, do not modify! +#################################################################################### +#################################################################################### + +.PHONY: all lib clean clean-all +all: lib + + +clean: + make -C axi_ad6676 clean + make -C axi_ad7616 clean + make -C axi_ad9122 clean + make -C axi_ad9144 clean + make -C axi_ad9152 clean + make -C axi_ad9162 clean + make -C axi_ad9234 clean + make -C axi_ad9250 clean + make -C axi_ad9265 clean + make -C axi_ad9361 clean + make -C axi_ad9371 clean + make -C axi_ad9434 clean + make -C axi_ad9467 clean + make -C axi_ad9625 clean + make -C axi_ad9643 clean + make -C axi_ad9652 clean + make -C axi_ad9671 clean + make -C axi_ad9680 clean + make -C axi_ad9684 clean + make -C axi_ad9739a clean + make -C axi_adcfifo clean + make -C axi_adxcvr clean + make -C axi_clkgen clean + make -C axi_dacfifo clean + make -C axi_dmac clean + make -C axi_generic_adc clean + make -C axi_gpreg clean + make -C axi_hdmi_rx clean + make -C axi_hdmi_tx clean + make -C axi_i2s_adi clean + make -C axi_jesd_gt clean + make -C axi_mc_controller clean + make -C axi_mc_current_monitor clean + make -C axi_mc_speed clean + make -C axi_spdif_rx clean + make -C axi_spdif_tx clean + make -C axi_usb_fx3 clean + make -C cn0363/cn0363_dma_sequencer clean + make -C cn0363/cn0363_phase_data_sync clean + make -C cordic_demod clean + make -C interfaces clean + make -C spi_engine/axi_spi_engine clean + make -C spi_engine/spi_engine_execution clean + make -C spi_engine/spi_engine_interconnect clean + make -C spi_engine/spi_engine_offload clean + make -C util_adcfifo clean + make -C util_adxcvr clean + make -C util_axis_fifo clean + make -C util_axis_resize clean + make -C util_bsplit clean + make -C util_ccat clean + make -C util_cpack clean + make -C util_dacfifo clean + make -C util_gmii_to_rgmii clean + make -C util_gtlb clean + make -C util_i2c_mixer clean + make -C util_jesd_gt clean + make -C util_mfifo clean + make -C util_pmod_adc clean + make -C util_pmod_fmeter clean + make -C util_rfifo clean + make -C util_sigma_delta_spi clean + make -C util_tdd_sync clean + make -C util_upack clean + make -C util_wfifo clean + + +clean-all:clean + + +lib: + -make -C axi_ad6676 + -make -C axi_ad7616 + -make -C axi_ad9122 + -make -C axi_ad9144 + -make -C axi_ad9152 + -make -C axi_ad9162 + -make -C axi_ad9234 + -make -C axi_ad9250 + -make -C axi_ad9265 + -make -C axi_ad9361 + -make -C axi_ad9371 + -make -C axi_ad9434 + -make -C axi_ad9467 + -make -C axi_ad9625 + -make -C axi_ad9643 + -make -C axi_ad9652 + -make -C axi_ad9671 + -make -C axi_ad9680 + -make -C axi_ad9684 + -make -C axi_ad9739a + -make -C axi_adcfifo + -make -C axi_adxcvr + -make -C axi_clkgen + -make -C axi_dacfifo + -make -C axi_dmac + -make -C axi_generic_adc + -make -C axi_gpreg + -make -C axi_hdmi_rx + -make -C axi_hdmi_tx + -make -C axi_i2s_adi + -make -C axi_jesd_gt + -make -C axi_mc_controller + -make -C axi_mc_current_monitor + -make -C axi_mc_speed + -make -C axi_spdif_rx + -make -C axi_spdif_tx + -make -C axi_usb_fx3 + -make -C cn0363/cn0363_dma_sequencer + -make -C cn0363/cn0363_phase_data_sync + -make -C cordic_demod + -make -C interfaces + -make -C spi_engine/axi_spi_engine + -make -C spi_engine/spi_engine_execution + -make -C spi_engine/spi_engine_interconnect + -make -C spi_engine/spi_engine_offload + -make -C util_adcfifo + -make -C util_adxcvr + -make -C util_axis_fifo + -make -C util_axis_resize + -make -C util_bsplit + -make -C util_ccat + -make -C util_cpack + -make -C util_dacfifo + -make -C util_gmii_to_rgmii + -make -C util_gtlb + -make -C util_i2c_mixer + -make -C util_jesd_gt + -make -C util_mfifo + -make -C util_pmod_adc + -make -C util_pmod_fmeter + -make -C util_rfifo + -make -C util_sigma_delta_spi + -make -C util_tdd_sync + -make -C util_upack + -make -C util_wfifo + +#################################################################################### +#################################################################################### diff --git a/library/axi_adxcvr/Makefile b/library/xilinx/axi_adxcvr/Makefile similarity index 100% rename from library/axi_adxcvr/Makefile rename to library/xilinx/axi_adxcvr/Makefile diff --git a/library/axi_adxcvr/axi_adxcvr.v b/library/xilinx/axi_adxcvr/axi_adxcvr.v similarity index 100% rename from library/axi_adxcvr/axi_adxcvr.v rename to library/xilinx/axi_adxcvr/axi_adxcvr.v diff --git a/library/axi_adxcvr/axi_adxcvr_es.v b/library/xilinx/axi_adxcvr/axi_adxcvr_es.v similarity index 100% rename from library/axi_adxcvr/axi_adxcvr_es.v rename to library/xilinx/axi_adxcvr/axi_adxcvr_es.v diff --git a/library/axi_adxcvr/axi_adxcvr_ip.tcl b/library/xilinx/axi_adxcvr/axi_adxcvr_ip.tcl similarity index 99% rename from library/axi_adxcvr/axi_adxcvr_ip.tcl rename to library/xilinx/axi_adxcvr/axi_adxcvr_ip.tcl index 914b06a0c..8808bde9f 100644 --- a/library/axi_adxcvr/axi_adxcvr_ip.tcl +++ b/library/xilinx/axi_adxcvr/axi_adxcvr_ip.tcl @@ -1,6 +1,6 @@ ## AUTO GENERATED BY axi_adxcvr.pl, DO NOT MODIFY! -source ../scripts/adi_env.tcl +source ../../scripts/adi_env.tcl source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create axi_adxcvr diff --git a/library/axi_adxcvr/axi_adxcvr_mdrp.v b/library/xilinx/axi_adxcvr/axi_adxcvr_mdrp.v similarity index 100% rename from library/axi_adxcvr/axi_adxcvr_mdrp.v rename to library/xilinx/axi_adxcvr/axi_adxcvr_mdrp.v diff --git a/library/axi_adxcvr/axi_adxcvr_mstatus.v b/library/xilinx/axi_adxcvr/axi_adxcvr_mstatus.v similarity index 100% rename from library/axi_adxcvr/axi_adxcvr_mstatus.v rename to library/xilinx/axi_adxcvr/axi_adxcvr_mstatus.v diff --git a/library/axi_adxcvr/axi_adxcvr_up.v b/library/xilinx/axi_adxcvr/axi_adxcvr_up.v similarity index 100% rename from library/axi_adxcvr/axi_adxcvr_up.v rename to library/xilinx/axi_adxcvr/axi_adxcvr_up.v diff --git a/library/util_adxcvr/Makefile b/library/xilinx/util_adxcvr/Makefile similarity index 100% rename from library/util_adxcvr/Makefile rename to library/xilinx/util_adxcvr/Makefile diff --git a/library/util_adxcvr/util_adxcvr.v b/library/xilinx/util_adxcvr/util_adxcvr.v similarity index 100% rename from library/util_adxcvr/util_adxcvr.v rename to library/xilinx/util_adxcvr/util_adxcvr.v diff --git a/library/util_adxcvr/util_adxcvr_constr.xdc b/library/xilinx/util_adxcvr/util_adxcvr_constr.xdc similarity index 100% rename from library/util_adxcvr/util_adxcvr_constr.xdc rename to library/xilinx/util_adxcvr/util_adxcvr_constr.xdc diff --git a/library/util_adxcvr/util_adxcvr_ip.tcl b/library/xilinx/util_adxcvr/util_adxcvr_ip.tcl similarity index 100% rename from library/util_adxcvr/util_adxcvr_ip.tcl rename to library/xilinx/util_adxcvr/util_adxcvr_ip.tcl diff --git a/library/util_adxcvr/util_adxcvr_xch.v b/library/xilinx/util_adxcvr/util_adxcvr_xch.v similarity index 100% rename from library/util_adxcvr/util_adxcvr_xch.v rename to library/xilinx/util_adxcvr/util_adxcvr_xch.v diff --git a/library/util_adxcvr/util_adxcvr_xcm.v b/library/xilinx/util_adxcvr/util_adxcvr_xcm.v similarity index 100% rename from library/util_adxcvr/util_adxcvr_xcm.v rename to library/xilinx/util_adxcvr/util_adxcvr_xcm.v