Add copyright and license to .sdc files
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>main
parent
a031cba1d5
commit
27bb69b44c
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -from [get_registers *up_drp_locked*] -to [get_registers *dac_status_m1*]
|
set_false_path -from [get_registers *up_drp_locked*] -to [get_registers *dac_status_m1*]
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -from [get_registers *i_dev_if|up_enable_int*] -to [get_registers *i_dev_if|enable_up_m1*]
|
set_false_path -from [get_registers *i_dev_if|up_enable_int*] -to [get_registers *i_dev_if|enable_up_m1*]
|
||||||
set_false_path -from [get_registers *i_dev_if|up_txnrx_int*] -to [get_registers *i_dev_if|txnrx_up_m1*]
|
set_false_path -from [get_registers *i_dev_if|up_txnrx_int*] -to [get_registers *i_dev_if|txnrx_up_m1*]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -to [get_registers *axi_ad9684_if:i_ad9684_if|adc_status_m1*]
|
set_false_path -to [get_registers *axi_ad9684_if:i_ad9684_if|adc_status_m1*]
|
||||||
set_false_path -to [get_registers *up_delay_cntrl:i_delay_cntrl|up_dlocked_m1*]
|
set_false_path -to [get_registers *up_delay_cntrl:i_delay_cntrl|up_dlocked_m1*]
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set script_dir [file dirname [info script]]
|
set script_dir [file dirname [info script]]
|
||||||
|
|
||||||
source "$script_dir/util_cdc_constr.tcl"
|
source "$script_dir/util_cdc_constr.tcl"
|
||||||
|
|
|
@ -1,4 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -to [get_registers *axi_dmac*cdc_sync_stage1*]
|
set_false_path -to [get_registers *axi_dmac*cdc_sync_stage1*]
|
||||||
set_false_path -from [get_registers *axi_dmac*cdc_sync_fifo_ram*]
|
set_false_path -from [get_registers *axi_dmac*cdc_sync_fifo_ram*]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -from [get_registers *hdmi_fs_toggle*] -to [get_registers *vdma_fs_toggle_m1]
|
set_false_path -from [get_registers *hdmi_fs_toggle*] -to [get_registers *vdma_fs_toggle_m1]
|
||||||
set_false_path -from [get_registers *hdmi_raddr_g*] -to [get_registers *vdma_raddr_g_m1*]
|
set_false_path -from [get_registers *hdmi_raddr_g*] -to [get_registers *vdma_raddr_g_m1*]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path \
|
set_false_path \
|
||||||
-to [get_registers *i_driver_otw_sync|cdc_sync_stage1*]
|
-to [get_registers *i_driver_otw_sync|cdc_sync_stage1*]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -to [get_registers *axi_pulse_gen_regmap*cdc_sync_stage1*]
|
set_false_path -to [get_registers *axi_pulse_gen_regmap*cdc_sync_stage1*]
|
||||||
set_false_path -to [get_registers *axi_pulse_gen_regmap*sync_data*out_data*]
|
set_false_path -to [get_registers *axi_pulse_gen_regmap*sync_data*out_data*]
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set script_dir [file dirname [info script]]
|
set script_dir [file dirname [info script]]
|
||||||
|
|
||||||
source "$script_dir/util_cdc_constr.tcl"
|
source "$script_dir/util_cdc_constr.tcl"
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path \
|
set_false_path \
|
||||||
-from [get_registers {*|i_regmap|up_tdd_burst_count[*]}] \
|
-from [get_registers {*|i_regmap|up_tdd_burst_count[*]}] \
|
||||||
-to [get_registers {*|i_counter|tdd_burst_count[*]}]
|
-to [get_registers {*|i_counter|tdd_burst_count[*]}]
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# CDC paths
|
# CDC paths
|
||||||
|
|
||||||
set_false_path -from [get_registers *avl_dacfifo_rd:i_rd|dac_mem_raddr_g*] \
|
set_false_path -from [get_registers *avl_dacfifo_rd:i_rd|dac_mem_raddr_g*] \
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -from [get_registers *up_clock_mon:*|d_count_run_m3*] -to [get_registers *up_clock_mon:*|up_count_running_m1*]
|
set_false_path -from [get_registers *up_clock_mon:*|d_count_run_m3*] -to [get_registers *up_clock_mon:*|up_count_running_m1*]
|
||||||
set_false_path -from [get_registers *up_clock_mon:*|up_count_run*] -to [get_registers *up_clock_mon:*|d_count_run_m1*]
|
set_false_path -from [get_registers *up_clock_mon:*|up_count_run*] -to [get_registers *up_clock_mon:*|d_count_run_m1*]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -to [get_pins -hierarchical -nocase rst_async_d*|CLRN]
|
set_false_path -to [get_pins -hierarchical -nocase rst_async_d*|CLRN]
|
||||||
set_false_path -to [get_pins -hierarchical -nocase rst_sync|CLRN]
|
set_false_path -to [get_pins -hierarchical -nocase rst_sync|CLRN]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -from [get_registers *up_xfer_cntrl:i_xfer_cntrl|d_xfer_toggle*] -to [get_registers *up_xfer_cntrl:i_xfer_cntrl|up_xfer_state_m1*]
|
set_false_path -from [get_registers *up_xfer_cntrl:i_xfer_cntrl|d_xfer_toggle*] -to [get_registers *up_xfer_cntrl:i_xfer_cntrl|up_xfer_state_m1*]
|
||||||
set_false_path -from [get_registers *up_xfer_cntrl:i_xfer_cntrl|up_xfer_toggle*] -to [get_registers *up_xfer_cntrl:i_xfer_cntrl|d_xfer_toggle_m1*]
|
set_false_path -from [get_registers *up_xfer_cntrl:i_xfer_cntrl|up_xfer_toggle*] -to [get_registers *up_xfer_cntrl:i_xfer_cntrl|d_xfer_toggle_m1*]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -from [get_registers *up_xfer_status:i_xfer_status|up_xfer_toggle*] -to [get_registers *up_xfer_status:i_xfer_status|d_xfer_state_m1*]
|
set_false_path -from [get_registers *up_xfer_status:i_xfer_status|up_xfer_toggle*] -to [get_registers *up_xfer_status:i_xfer_status|d_xfer_state_m1*]
|
||||||
set_false_path -from [get_registers *up_xfer_status:i_xfer_status|d_xfer_toggle*] -to [get_registers *up_xfer_status:i_xfer_status|up_xfer_toggle_m1*]
|
set_false_path -from [get_registers *up_xfer_status:i_xfer_status|d_xfer_toggle*] -to [get_registers *up_xfer_status:i_xfer_status|up_xfer_toggle_m1*]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path \
|
set_false_path \
|
||||||
-to [get_registers *cdc_sync_stage1*]
|
-to [get_registers *cdc_sync_stage1*]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -to [get_registers *adc_xfer_req_m_reg[0]*]
|
set_false_path -to [get_registers *adc_xfer_req_m_reg[0]*]
|
||||||
set_false_path -to [get_registers *adc_xfer_req_m[0]*]
|
set_false_path -to [get_registers *adc_xfer_req_m[0]*]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -to [get_registers *dac_bypass_m1*]
|
set_false_path -to [get_registers *dac_bypass_m1*]
|
||||||
set_false_path -to [get_registers *dma_bypass_m1*]
|
set_false_path -to [get_registers *dma_bypass_m1*]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -from [get_registers *dout_enable*] -to [get_registers *din_enable_m1*]
|
set_false_path -from [get_registers *dout_enable*] -to [get_registers *din_enable_m1*]
|
||||||
set_false_path -from [get_registers *dout_req_t*] -to [get_registers *din_req_t_m1*]
|
set_false_path -from [get_registers *dout_req_t*] -to [get_registers *din_req_t_m1*]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -from [get_registers *din_enable*] -to [get_registers *dout_enable_m1*]
|
set_false_path -from [get_registers *din_enable*] -to [get_registers *dout_enable_m1*]
|
||||||
set_false_path -from [get_registers *din_req_t*] -to [get_registers *dout_req_t_m1*]
|
set_false_path -from [get_registers *din_req_t*] -to [get_registers *dout_req_t_m1*]
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "20.000 ns" -name sys_clk [get_ports {sys_clk}]
|
create_clock -period "20.000 ns" -name sys_clk [get_ports {sys_clk}]
|
||||||
create_clock -period "16.666 ns" -name usb1_clk [get_ports {usb1_clk}]
|
create_clock -period "16.666 ns" -name usb1_clk [get_ports {usb1_clk}]
|
||||||
create_clock -period "488.00 ns" -name adc_clk [get_ports {adc_clk_in}]
|
create_clock -period "488.00 ns" -name adc_clk [get_ports {adc_clk_in}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
||||||
create_clock -period "4.000 ns" -name ref_clk [get_ports {fpga_refclk_in}]
|
create_clock -period "4.000 ns" -name ref_clk [get_ports {fpga_refclk_in}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
||||||
create_clock -period "8.000 ns" -name rx_device_clk [get_ports {rx_device_clk}]
|
create_clock -period "8.000 ns" -name rx_device_clk [get_ports {rx_device_clk}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
||||||
create_clock -period "3.2 ns" -name ref_a_clk0 [get_ports {rx_ref_a_clk0}]
|
create_clock -period "3.2 ns" -name ref_a_clk0 [get_ports {rx_ref_a_clk0}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
||||||
create_clock -period "4.000 ns" -name rx_device_clk [get_ports {rx_device_clk}]
|
create_clock -period "4.000 ns" -name rx_device_clk [get_ports {rx_device_clk}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
||||||
create_clock -period "4.06504065 ns" -name ref_clk0 [get_ports {ref_clk0}]
|
create_clock -period "4.06504065 ns" -name ref_clk0 [get_ports {ref_clk0}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
||||||
create_clock -period "4.069 ns" -name ref_clk0 [get_ports {ref_clk0}]
|
create_clock -period "4.069 ns" -name ref_clk0 [get_ports {ref_clk0}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
||||||
create_clock -period "8.1300813 ns" -name ref_clk0 [get_ports {ref_clk0}]
|
create_clock -period "8.1300813 ns" -name ref_clk0 [get_ports {ref_clk0}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "20.000 ns" -name sys_clk_50mhz [get_ports {sys_clk}]
|
create_clock -period "20.000 ns" -name sys_clk_50mhz [get_ports {sys_clk}]
|
||||||
create_clock -period "16.666 ns" -name usb_clk_60mhz [get_ports {usb1_clk}]
|
create_clock -period "16.666 ns" -name usb_clk_60mhz [get_ports {usb1_clk}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "20.000 ns" -name sys_clk [get_ports {sys_clk}]
|
create_clock -period "20.000 ns" -name sys_clk [get_ports {sys_clk}]
|
||||||
create_clock -period 4.0 -name rx_clk [get_ports {rx_clk_in}]
|
create_clock -period 4.0 -name rx_clk [get_ports {rx_clk_in}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "40.000 ns" -name mii_rx_clk_a [get_ports {mii_rx_clk_a}]
|
create_clock -period "40.000 ns" -name mii_rx_clk_a [get_ports {mii_rx_clk_a}]
|
||||||
create_clock -period "40.000 ns" -name mii_rx_clk_b [get_ports {mii_rx_clk_b}]
|
create_clock -period "40.000 ns" -name mii_rx_clk_b [get_ports {mii_rx_clk_b}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "20.000 ns" -name sys_clk [get_ports {sys_clk}]
|
create_clock -period "20.000 ns" -name sys_clk [get_ports {sys_clk}]
|
||||||
create_clock -period "16.666 ns" -name usb1_clk [get_ports {usb1_clk}]
|
create_clock -period "16.666 ns" -name usb1_clk [get_ports {usb1_clk}]
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "20.000 ns" -name sys_clk [get_ports {sys_clk}]
|
create_clock -period "20.000 ns" -name sys_clk [get_ports {sys_clk}]
|
||||||
create_clock -period "16.666 ns" -name usb1_clk [get_ports {usb1_clk}]
|
create_clock -period "16.666 ns" -name usb1_clk [get_ports {usb1_clk}]
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "20.000 ns" -name sys_clk [get_ports {sys_clk}]
|
create_clock -period "20.000 ns" -name sys_clk [get_ports {sys_clk}]
|
||||||
create_clock -period "16.666 ns" -name usb1_clk [get_ports {usb1_clk}]
|
create_clock -period "16.666 ns" -name usb1_clk [get_ports {usb1_clk}]
|
||||||
create_clock -period "122.07 ns" -name adc_clk [get_ports {adc_clk_in}]
|
create_clock -period "122.07 ns" -name adc_clk [get_ports {adc_clk_in}]
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
||||||
|
|
||||||
derive_pll_clocks
|
derive_pll_clocks
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
||||||
|
|
||||||
derive_pll_clocks
|
derive_pll_clocks
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "20.000 ns" -name sys_clk [get_ports {sys_clk}]
|
create_clock -period "20.000 ns" -name sys_clk [get_ports {sys_clk}]
|
||||||
|
|
||||||
derive_pll_clocks
|
derive_pll_clocks
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "20.000 ns" -name sys_clk_50mhz [get_ports {sys_clk}]
|
create_clock -period "20.000 ns" -name sys_clk_50mhz [get_ports {sys_clk}]
|
||||||
create_clock -period "16.666 ns" -name usb_clk_60mhz [get_ports {usb1_clk}]
|
create_clock -period "16.666 ns" -name usb_clk_60mhz [get_ports {usb1_clk}]
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
||||||
|
|
||||||
derive_pll_clocks
|
derive_pll_clocks
|
||||||
|
|
|
@ -1,34 +1,7 @@
|
||||||
#
|
###############################################################################
|
||||||
# Copyright 2018 (c) Analog Devices, Inc. All rights reserved.
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
#
|
### SPDX short identifier: ADIBSD
|
||||||
# In this HDL repository, there are many different and unique modules, consisting
|
###############################################################################
|
||||||
# of various HDL (Verilog or VHDL) components. The individual modules are
|
|
||||||
# developed independently, and may be accompanied by separate and unique license
|
|
||||||
# terms.
|
|
||||||
#
|
|
||||||
# The user should read each of these license terms, and understand the
|
|
||||||
# freedoms and responsibilities that he or she has by using this source/core.
|
|
||||||
#
|
|
||||||
# This core is distributed in the hope that it will be useful, but WITHOUT ANY
|
|
||||||
# WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
|
|
||||||
# A PARTICULAR PURPOSE.
|
|
||||||
#
|
|
||||||
# Redistribution and use of source or resulting binaries, with or without modification
|
|
||||||
# of this file, are permitted under one of the following two license terms:
|
|
||||||
#
|
|
||||||
# 1. The GNU General Public License version 2 as published by the
|
|
||||||
# Free Software Foundation, which can be found in the top level directory
|
|
||||||
# of this repository (LICENSE_GPL2), and also online at:
|
|
||||||
# <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
|
|
||||||
#
|
|
||||||
# OR
|
|
||||||
#
|
|
||||||
# 2. An ADI specific BSD license, which can be found in the top level directory
|
|
||||||
# of this repository (LICENSE_ADIBSD), and also on-line at:
|
|
||||||
# https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
|
|
||||||
# This will allow to generate bit files and not release the source code,
|
|
||||||
# as long as it attaches to an ADI device.
|
|
||||||
#
|
|
||||||
|
|
||||||
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
||||||
create_clock -period "3.000 ns" -name rx_ref_clk [get_ports {rx_ref_clk}]
|
create_clock -period "3.000 ns" -name rx_ref_clk [get_ports {rx_ref_clk}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
|
||||||
create_clock -period "4.06504065 ns" -name ref_clk_c [get_ports {ref_clk_c}]
|
create_clock -period "4.06504065 ns" -name ref_clk_c [get_ports {ref_clk_c}]
|
||||||
|
|
Loading…
Reference in New Issue