Add copyright and license to .xdc files
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>main
parent
27bb69b44c
commit
1cac2d82e1
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -from [get_cells -hier -filter {name =~ *up_drp_locked_reg && IS_SEQUENTIAL}] \
|
set_false_path -from [get_cells -hier -filter {name =~ *up_drp_locked_reg && IS_SEQUENTIAL}] \
|
||||||
-to [get_cells -hier -filter {name =~ *dac_status_m1_reg && IS_SEQUENTIAL}]
|
-to [get_cells -hier -filter {name =~ *dac_status_m1_reg && IS_SEQUENTIAL}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE \
|
set_property ASYNC_REG TRUE \
|
||||||
[get_cells -hier *enable_up_*] \
|
[get_cells -hier *enable_up_*] \
|
||||||
|
|
|
@ -1,2 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -from [get_cells -hier -filter {name =~ *up_drp_locked_reg && IS_SEQUENTIAL}] -to \
|
set_false_path -from [get_cells -hier -filter {name =~ *up_drp_locked_reg && IS_SEQUENTIAL}] -to \
|
||||||
[get_cells -hier -filter {name =~ *adc_status_m1_reg && IS_SEQUENTIAL}]
|
[get_cells -hier -filter {name =~ *adc_status_m1_reg && IS_SEQUENTIAL}]
|
||||||
|
|
|
@ -1 +1,6 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -from [get_cells -hier -filter {name =~ *up_drp_locked_reg && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *adc_status_m1_reg && IS_SEQUENTIAL}]
|
set_false_path -from [get_cells -hier -filter {name =~ *up_drp_locked_reg && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *adc_status_m1_reg && IS_SEQUENTIAL}]
|
||||||
|
|
|
@ -1 +1,6 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -from [get_cells -hier -filter {name =~ *up_*clk_enb* && IS_SEQUENTIAL}] -to [get_pins -hier -filter {name =~ *bufgctrl*/S0}]
|
set_false_path -from [get_cells -hier -filter {name =~ *up_*clk_enb* && IS_SEQUENTIAL}] -to [get_pins -hier -filter {name =~ *bufgctrl*/S0}]
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_toggle*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_toggle*}]
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_a_d*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_a_d*}]
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_b_d*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_b_d*}]
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_false_path -quiet -from [get_cells -quiet -hier *in_toggle_d1_reg* -filter {NAME =~ *i_serdes* && IS_SEQUENTIAL}]
|
set_false_path -quiet -from [get_cells -quiet -hier *in_toggle_d1_reg* -filter {NAME =~ *i_serdes* && IS_SEQUENTIAL}]
|
||||||
set_false_path -quiet -from [get_cells -quiet -hier *out_toggle_d1_reg* -filter {NAME =~ *i_serdes* && IS_SEQUENTIAL}]
|
set_false_path -quiet -from [get_cells -quiet -hier *out_toggle_d1_reg* -filter {NAME =~ *i_serdes* && IS_SEQUENTIAL}]
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_i_m*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_i_m*}]
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_adc_m*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_adc_m*}]
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_la_m*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_la_m*}]
|
||||||
|
@ -5,4 +10,3 @@ set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_la_m*}]
|
||||||
set_false_path -to [get_cells -hier -filter {name =~ *trigger_i_m1_reg* && IS_SEQUENTIAL}]
|
set_false_path -to [get_cells -hier -filter {name =~ *trigger_i_m1_reg* && IS_SEQUENTIAL}]
|
||||||
set_false_path -to [get_cells -hier -filter {name =~ *trigger_adc_m1_reg* && IS_SEQUENTIAL}]
|
set_false_path -to [get_cells -hier -filter {name =~ *trigger_adc_m1_reg* && IS_SEQUENTIAL}]
|
||||||
set_false_path -to [get_cells -hier -filter {name =~ *trigger_la_m1_reg* && IS_SEQUENTIAL}]
|
set_false_path -to [get_cells -hier -filter {name =~ *trigger_la_m1_reg* && IS_SEQUENTIAL}]
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_cal_done_t_m1* && IS_SEQUENTIAL}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_cal_done_t_m1* && IS_SEQUENTIAL}]
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_sysref_ack_t_m1* && IS_SEQUENTIAL}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_sysref_ack_t_m1* && IS_SEQUENTIAL}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *vdma_fs_toggle*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *vdma_fs_toggle*}]
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *vdma_raddr_g*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *vdma_raddr_g*}]
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set ctrl_clk [get_clocks -of_objects [get_ports s_axi_aclk]]
|
set ctrl_clk [get_clocks -of_objects [get_ports s_axi_aclk]]
|
||||||
set data_clk [get_clocks -of_objects [get_ports data_clk_i]]
|
set data_clk [get_clocks -of_objects [get_ports data_clk_i]]
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE \
|
set_property ASYNC_REG TRUE \
|
||||||
[get_cells -hier {*cdc_sync_stage1_reg*}] \
|
[get_cells -hier {*cdc_sync_stage1_reg*}] \
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_triggered_d*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_triggered_d*}]
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_triggered_reset_d*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_triggered_reset_d*}]
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *data_fixed_delay*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *data_fixed_delay*}]
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE \
|
set_property ASYNC_REG TRUE \
|
||||||
[get_cells -hier cdc_sync_stage1_*_reg] \
|
[get_cells -hier cdc_sync_stage1_*_reg] \
|
||||||
[get_cells -hier cdc_sync_stage2_*_reg]
|
[get_cells -hier cdc_sync_stage2_*_reg]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE \
|
set_property ASYNC_REG TRUE \
|
||||||
[get_cells -hier -filter {name =~ *adc_xfer_req_m*}] \
|
[get_cells -hier -filter {name =~ *adc_xfer_req_m*}] \
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE [get_cells -hierarchical -filter {name =~ *dac_waddr_m*}] \
|
set_property ASYNC_REG TRUE [get_cells -hierarchical -filter {name =~ *dac_waddr_m*}] \
|
||||||
[get_cells -hierarchical -filter {name =~ *dac_lastaddr_m*}] \
|
[get_cells -hierarchical -filter {name =~ *dac_lastaddr_m*}] \
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE \
|
set_property ASYNC_REG TRUE \
|
||||||
[get_cells -hier *tx_reset_d1_reg*] \
|
[get_cells -hier *tx_reset_d1_reg*] \
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE \
|
set_property ASYNC_REG TRUE \
|
||||||
[get_cells -quiet -hier *cdc_sync_stage1_reg*] \
|
[get_cells -quiet -hier *cdc_sync_stage1_reg*] \
|
||||||
[get_cells -quiet -hier *cdc_sync_stage2_reg*]
|
[get_cells -quiet -hier *cdc_sync_stage2_reg*]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property SHREG_EXTRACT NO [get_cells -hier *din_dout_toggle_m*]
|
set_property SHREG_EXTRACT NO [get_cells -hier *din_dout_toggle_m*]
|
||||||
set_property SHREG_EXTRACT NO [get_cells -hier *dout_din_toggle_m*]
|
set_property SHREG_EXTRACT NO [get_cells -hier *dout_din_toggle_m*]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *din_enable_m*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *din_enable_m*}]
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *din_req_t_m*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *din_req_t_m*}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE \
|
set_property ASYNC_REG TRUE \
|
||||||
[get_cells -hier *sync_mode_d*]
|
[get_cells -hier *sync_mode_d*]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *dout_enable_m*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *dout_enable_m*}]
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *dout_req_t_m*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *dout_req_t_m*}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE \
|
set_property ASYNC_REG TRUE \
|
||||||
[get_cells -hier *axi_waddr_m1_reg*] \
|
[get_cells -hier *axi_waddr_m1_reg*] \
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE \
|
set_property ASYNC_REG TRUE \
|
||||||
[get_cells -hier *dma_mem_*_m*] \
|
[get_cells -hier *dma_mem_*_m*] \
|
||||||
[get_cells -hier *axi_xfer_*_m*] \
|
[get_cells -hier *axi_xfer_*_m*] \
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_xfer_state*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_xfer_state*}]
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_toggle*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_toggle*}]
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# the "-quiet" option is added for the axi_spi_engine ip where the ad_rst.v
|
# the "-quiet" option is added for the axi_spi_engine ip where the ad_rst.v
|
||||||
# module is not always inferred and this causes critical warnings
|
# module is not always inferred and this causes critical warnings
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG true [get_cells -hierarchical -filter {name =~ *up_count_running_m*}]
|
set_property ASYNC_REG true [get_cells -hierarchical -filter {name =~ *up_count_running_m*}]
|
||||||
set_property ASYNC_REG true [get_cells -hierarchical -filter {name =~ *d_count_run_m*}]
|
set_property ASYNC_REG true [get_cells -hierarchical -filter {name =~ *d_count_run_m*}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_state*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_state*}]
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_xfer_toggle_m*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_xfer_toggle_m*}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_xfer_state*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_xfer_state*}]
|
||||||
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_toggle_m*}]
|
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_toggle_m*}]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property ASYNC_REG TRUE -quiet [get_cells -hier -filter {name =~ *up_rx_rst_done*}]
|
set_property ASYNC_REG TRUE -quiet [get_cells -hier -filter {name =~ *up_rx_rst_done*}]
|
||||||
set_property ASYNC_REG TRUE -quiet [get_cells -hier -filter {name =~ *up_tx_rst_done*}]
|
set_property ASYNC_REG TRUE -quiet [get_cells -hier -filter {name =~ *up_tx_rst_done*}]
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_clock_groups \
|
set_clock_groups \
|
||||||
-group [get_clocks -of_objects [get_pins clk_divide_sel_0/O]] \
|
-group [get_clocks -of_objects [get_pins clk_divide_sel_0/O]] \
|
||||||
-group [get_clocks -of_objects [get_pins clk_divide_sel_1/O]] \
|
-group [get_clocks -of_objects [get_pins clk_divide_sel_1/O]] \
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ad40xx_fmc SPI interface
|
# ad40xx_fmc SPI interface
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# adaq400x PMOD SPI interface - the PMOD JA1 is used
|
# adaq400x PMOD SPI interface - the PMOD JA1 is used
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property -dict {PACKAGE_PIN Y11 IOSTANDARD LVCMOS33} [get_ports spi_csn]
|
set_property -dict {PACKAGE_PIN Y11 IOSTANDARD LVCMOS33} [get_ports spi_csn]
|
||||||
set_property -dict {PACKAGE_PIN AA8 IOSTANDARD LVCMOS33} [get_ports spi_clk]
|
set_property -dict {PACKAGE_PIN AA8 IOSTANDARD LVCMOS33} [get_ports spi_clk]
|
||||||
set_property -dict {PACKAGE_PIN AA11 IOSTANDARD LVCMOS33} [get_ports spi_mosi]
|
set_property -dict {PACKAGE_PIN AA11 IOSTANDARD LVCMOS33} [get_ports spi_mosi]
|
||||||
|
|
|
@ -1,5 +1,9 @@
|
||||||
# ad4134 SPI configuration interface
|
###############################################################################
|
||||||
|
## Copyright (C) 2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
|
# ad4134 SPI configuration interface
|
||||||
set_property -dict {PACKAGE_PIN N22 IOSTANDARD LVCMOS25} [get_ports ad4134_spi_sdi] ; ## FMC_LPC_LA03_P
|
set_property -dict {PACKAGE_PIN N22 IOSTANDARD LVCMOS25} [get_ports ad4134_spi_sdi] ; ## FMC_LPC_LA03_P
|
||||||
set_property -dict {PACKAGE_PIN M22 IOSTANDARD LVCMOS25} [get_ports ad4134_spi_sdo] ; ## FMC_LPC_LA04_N
|
set_property -dict {PACKAGE_PIN M22 IOSTANDARD LVCMOS25} [get_ports ad4134_spi_sdo] ; ## FMC_LPC_LA04_N
|
||||||
set_property -dict {PACKAGE_PIN N19 IOSTANDARD LVCMOS25} [get_ports ad4134_spi_sclk] ; ## FMC_LPC_LA01_CC_P
|
set_property -dict {PACKAGE_PIN N19 IOSTANDARD LVCMOS25} [get_ports ad4134_spi_sclk] ; ## FMC_LPC_LA01_CC_P
|
||||||
|
|
|
@ -1,5 +1,9 @@
|
||||||
# ad463x_fmc SPI interface
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
|
# ad463x_fmc SPI interface
|
||||||
set_property -dict {PACKAGE_PIN L22 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad463x_spi_sdo]
|
set_property -dict {PACKAGE_PIN L22 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad463x_spi_sdo]
|
||||||
set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad463x_spi_sclk]
|
set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad463x_spi_sclk]
|
||||||
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_cs]
|
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_cs]
|
||||||
|
|
|
@ -1,5 +1,9 @@
|
||||||
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi] ; ## H07 FMC_LPC_LA02_P
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
|
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi] ; ## H07 FMC_LPC_LA02_P
|
||||||
# input delays for MISO lines (SDO for the device)
|
# input delays for MISO lines (SDO for the device)
|
||||||
# data is latched on negative edge
|
# data is latched on negative edge
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi[0]] ; ## H07 FMC_LPC_LA02_P
|
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi[0]] ; ## H07 FMC_LPC_LA02_P
|
||||||
set_property -dict {PACKAGE_PIN M21 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi[1]] ; ## H10 FMC_LPC_LA04_P
|
set_property -dict {PACKAGE_PIN M21 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi[1]] ; ## H10 FMC_LPC_LA04_P
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports {ad463x_spi_sdi[0]}]
|
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports {ad463x_spi_sdi[0]}]
|
||||||
set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS25} [get_ports {ad463x_spi_sdi[1]}]
|
set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS25} [get_ports {ad463x_spi_sdi[1]}]
|
||||||
set_property -dict {PACKAGE_PIN M21 IOSTANDARD LVCMOS25} [get_ports {ad463x_spi_sdi[2]}]
|
set_property -dict {PACKAGE_PIN M21 IOSTANDARD LVCMOS25} [get_ports {ad463x_spi_sdi[2]}]
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi[0]] ; ## H07 FMC_LPC_LA02_P
|
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi[0]] ; ## H07 FMC_LPC_LA02_P
|
||||||
set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi[1]] ; ## H08 FMC_LPC_LA02_N
|
set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi[1]] ; ## H08 FMC_LPC_LA02_N
|
||||||
set_property -dict {PACKAGE_PIN N22 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi[2]] ; ## G09 FMC_LPC_LA03_P
|
set_property -dict {PACKAGE_PIN N22 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi[2]] ; ## G09 FMC_LPC_LA03_P
|
||||||
|
|
|
@ -1,5 +1,9 @@
|
||||||
# ad4696_fmc SPI interface
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
|
# ad4696_fmc SPI interface
|
||||||
set_property -dict {PACKAGE_PIN N19 IOSTANDARD LVCMOS25} [get_ports ad469x_spi_sdi] ; ## D08 FMC_LPC_LA01_CC_P
|
set_property -dict {PACKAGE_PIN N19 IOSTANDARD LVCMOS25} [get_ports ad469x_spi_sdi] ; ## D08 FMC_LPC_LA01_CC_P
|
||||||
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports ad469x_spi_sdo] ; ## H07 FMC_LPC_LA02_P
|
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports ad469x_spi_sdo] ; ## H07 FMC_LPC_LA02_P
|
||||||
set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVCMOS25} [get_ports ad469x_spi_sclk] ; ## D09 FMC_LPC_LA01_CC_N
|
set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVCMOS25} [get_ports ad469x_spi_sclk] ; ## D09 FMC_LPC_LA01_CC_N
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# DAC SPI interface
|
# DAC SPI interface
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# SPI interface
|
# SPI interface
|
||||||
|
|
||||||
|
@ -9,4 +13,3 @@ set_property -dict {PACKAGE_PIN J16 IOSTANDARD LVCMOS25} [get_ports spi_cs]
|
||||||
# reset signal
|
# reset signal
|
||||||
|
|
||||||
set_property -dict {PACKAGE_PIN E19 IOSTANDARD LVCMOS25} [get_ports reset] ; ## FMC_LPC_LA21_P
|
set_property -dict {PACKAGE_PIN E19 IOSTANDARD LVCMOS25} [get_ports reset] ; ## FMC_LPC_LA21_P
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ad6676
|
# ad6676
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ad6676
|
# ad6676
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ad713x SPI configuration interface
|
# ad713x SPI configuration interface
|
||||||
|
|
||||||
set_property -dict {PACKAGE_PIN N22 IOSTANDARD LVCMOS25} [get_ports ad713x_spi_sdi] ; ## FMC_LPC_LA03_P
|
set_property -dict {PACKAGE_PIN N22 IOSTANDARD LVCMOS25} [get_ports ad713x_spi_sdi] ; ## FMC_LPC_LA03_P
|
||||||
|
|
|
@ -1,5 +1,9 @@
|
||||||
# coraz7s
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
|
# coraz7s
|
||||||
# ad719x spi connections
|
# ad719x spi connections
|
||||||
|
|
||||||
set_property -dict {PACKAGE_PIN Y17 IOSTANDARD LVCMOS33} [get_ports {adc_spi_sclk}]; # IO_L7N_T1_34 Sch=ja_n[2]
|
set_property -dict {PACKAGE_PIN Y17 IOSTANDARD LVCMOS33} [get_ports {adc_spi_sclk}]; # IO_L7N_T1_34 Sch=ja_n[2]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# SPI interface
|
# SPI interface
|
||||||
|
|
||||||
|
@ -6,4 +10,3 @@ set_property -dict {PACKAGE_PIN N19 IOSTANDARD LVCMOS25} [get_ports spi_sdia]
|
||||||
set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVCMOS25} [get_ports spi_sdib] ; ## FMC_LPC_LA01_CC_N
|
set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVCMOS25} [get_ports spi_sdib] ; ## FMC_LPC_LA01_CC_N
|
||||||
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports spi_sdo] ; ## FMC_LPC_LA02_P
|
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports spi_sdo] ; ## FMC_LPC_LA02_P
|
||||||
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS25} [get_ports spi_cs] ; ## FMC_LPC_LA00_CC_N
|
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS25} [get_ports spi_cs] ; ## FMC_LPC_LA00_CC_N
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVDS_25} [get_ports adc_clk_p] ; ## FMC_LPC_LA00_CC_P
|
set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVDS_25} [get_ports adc_clk_p] ; ## FMC_LPC_LA00_CC_P
|
||||||
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVDS_25} [get_ports adc_clk_n] ; ## FMC_LPC_LA00_CC_N
|
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVDS_25} [get_ports adc_clk_n] ; ## FMC_LPC_LA00_CC_N
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS25} [get_ports adc_clk] ; ## FMC_LPC_LA00_CC_P
|
set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS25} [get_ports adc_clk] ; ## FMC_LPC_LA00_CC_P
|
||||||
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS25} [get_ports adc_data] ; ## FMC_LPC_LA00_CC_N
|
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS25} [get_ports adc_data] ; ## FMC_LPC_LA00_CC_N
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ad7606x
|
# ad7606x
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ad7616
|
# ad7616
|
||||||
|
|
||||||
|
@ -33,4 +37,3 @@ set_property -dict {PACKAGE_PIN AC13 IOSTANDARD LVCMOS25} [get_ports adc_bus
|
||||||
set_property -dict {PACKAGE_PIN AJ28 IOSTANDARD LVCMOS25} [get_ports adc_seq_en] ; ## FMC_LPC_LA27_P
|
set_property -dict {PACKAGE_PIN AJ28 IOSTANDARD LVCMOS25} [get_ports adc_seq_en] ; ## FMC_LPC_LA27_P
|
||||||
set_property -dict {PACKAGE_PIN AK28 IOSTANDARD LVCMOS25} [get_ports adc_reset_n] ; ## FMC_LPC_LA22_N
|
set_property -dict {PACKAGE_PIN AK28 IOSTANDARD LVCMOS25} [get_ports adc_reset_n] ; ## FMC_LPC_LA22_N
|
||||||
set_property -dict {PACKAGE_PIN AK15 IOSTANDARD LVCMOS25} [get_ports adc_cs_n] ; ## FMC_LPC_LA04_N
|
set_property -dict {PACKAGE_PIN AK15 IOSTANDARD LVCMOS25} [get_ports adc_cs_n] ; ## FMC_LPC_LA04_N
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ad7616
|
# ad7616
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ad7616
|
# ad7616
|
||||||
|
|
||||||
|
@ -34,4 +38,3 @@ set_property -dict {PACKAGE_PIN T19 IOSTANDARD LVCMOS25} [get_ports adc_busy
|
||||||
set_property -dict {PACKAGE_PIN E21 IOSTANDARD LVCMOS25} [get_ports adc_seq_en] ; ## FMC_LPC_LA27_P
|
set_property -dict {PACKAGE_PIN E21 IOSTANDARD LVCMOS25} [get_ports adc_seq_en] ; ## FMC_LPC_LA27_P
|
||||||
set_property -dict {PACKAGE_PIN F19 IOSTANDARD LVCMOS25} [get_ports adc_reset_n] ; ## FMC_LPC_LA22_N
|
set_property -dict {PACKAGE_PIN F19 IOSTANDARD LVCMOS25} [get_ports adc_reset_n] ; ## FMC_LPC_LA22_N
|
||||||
set_property -dict {PACKAGE_PIN M22 IOSTANDARD LVCMOS25} [get_ports adc_cs_n] ; ## FMC_LPC_LA04_N
|
set_property -dict {PACKAGE_PIN M22 IOSTANDARD LVCMOS25} [get_ports adc_cs_n] ; ## FMC_LPC_LA04_N
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ad7616
|
# ad7616
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# SPI interface
|
# SPI interface
|
||||||
|
|
||||||
|
@ -19,4 +23,3 @@ set_property -dict {PACKAGE_PIN N17 IOSTANDARD LVCMOS25}
|
||||||
set_property -dict {PACKAGE_PIN J18 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad7768_drdy] ; ## FMC_LPC_LA05_P
|
set_property -dict {PACKAGE_PIN J18 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad7768_drdy] ; ## FMC_LPC_LA05_P
|
||||||
set_property -dict {PACKAGE_PIN L19 IOSTANDARD LVCMOS25} [get_ports ad7768_sync_out] ; ## FMC_LPC_CLK0_M2C_N
|
set_property -dict {PACKAGE_PIN L19 IOSTANDARD LVCMOS25} [get_ports ad7768_sync_out] ; ## FMC_LPC_CLK0_M2C_N
|
||||||
set_property -dict {PACKAGE_PIN L21 IOSTANDARD LVCMOS25} [get_ports ad7768_sync_in] ; ## FMC_LPC_LA06_P
|
set_property -dict {PACKAGE_PIN L21 IOSTANDARD LVCMOS25} [get_ports ad7768_sync_in] ; ## FMC_LPC_LA06_P
|
||||||
|
|
||||||
|
|
|
@ -1,27 +1,29 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
|
set_property -dict {PACKAGE_PIN L18 IOSTANDARD LVCMOS25} [get_ports clk_in] ; ## H04 FMC_LPC_CLK0_M2C_P IO_L12P_T1_MRCC_34
|
||||||
set_property -dict {PACKAGE_PIN L18 IOSTANDARD LVCMOS25} [get_ports clk_in] ; ## H04 FMC_LPC_CLK0_M2C_P IO_L12P_T1_MRCC_34
|
set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS25} [get_ports ready_in] ; ## G06 FMC_LPC_LA00_CC_P IO_L13P_T2_MRCC_34
|
||||||
set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS25} [get_ports ready_in] ; ## G06 FMC_LPC_LA00_CC_P IO_L13P_T2_MRCC_34
|
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS25} [get_ports data_in[0]] ; ## G07 FMC_LPC_LA00_CC_N IO_L13N_T2_MRCC_34
|
||||||
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS25} [get_ports data_in[0]] ; ## G07 FMC_LPC_LA00_CC_N IO_L13N_T2_MRCC_34
|
set_property -dict {PACKAGE_PIN L22 IOSTANDARD LVCMOS25} [get_ports data_in[1]] ; ## C11 FMC_LPC_LA06_N IO_L10N_T1_34
|
||||||
set_property -dict {PACKAGE_PIN L22 IOSTANDARD LVCMOS25} [get_ports data_in[1]] ; ## C11 FMC_LPC_LA06_N IO_L10N_T1_34
|
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports data_in[2]] ; ## H07 FMC_LPC_LA02_P IO_L20P_T3_34
|
||||||
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports data_in[2]] ; ## H07 FMC_LPC_LA02_P IO_L20P_T3_34
|
set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS25} [get_ports data_in[3]] ; ## H08 FMC_LPC_LA02_N IO_L20N_T3_34
|
||||||
set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS25} [get_ports data_in[3]] ; ## H08 FMC_LPC_LA02_N IO_L20N_T3_34
|
set_property -dict {PACKAGE_PIN J21 IOSTANDARD LVCMOS25} [get_ports data_in[4]] ; ## G12 FMC_LPC_LA08_P IO_L8P_T1_34
|
||||||
set_property -dict {PACKAGE_PIN J21 IOSTANDARD LVCMOS25} [get_ports data_in[4]] ; ## G12 FMC_LPC_LA08_P IO_L8P_T1_34
|
set_property -dict {PACKAGE_PIN J22 IOSTANDARD LVCMOS25} [get_ports data_in[5]] ; ## G13 FMC_LPC_LA08_N IO_L8N_T1_34
|
||||||
set_property -dict {PACKAGE_PIN J22 IOSTANDARD LVCMOS25} [get_ports data_in[5]] ; ## G13 FMC_LPC_LA08_N IO_L8N_T1_34
|
set_property -dict {PACKAGE_PIN R20 IOSTANDARD LVCMOS25} [get_ports data_in[6]] ; ## D14 FMC_LPC_LA09_P IO_L17P_T2_34
|
||||||
set_property -dict {PACKAGE_PIN R20 IOSTANDARD LVCMOS25} [get_ports data_in[6]] ; ## D14 FMC_LPC_LA09_P IO_L17P_T2_34
|
set_property -dict {PACKAGE_PIN R21 IOSTANDARD LVCMOS25} [get_ports data_in[7]] ; ## D15 FMC_LPC_LA09_N IO_L17N_T2_34
|
||||||
set_property -dict {PACKAGE_PIN R21 IOSTANDARD LVCMOS25} [get_ports data_in[7]] ; ## D15 FMC_LPC_LA09_N IO_L17N_T2_34
|
set_property -dict {PACKAGE_PIN J18 IOSTANDARD LVCMOS25} [get_ports spi_csn] ; ## D11 FMC_LPC_LA05_P IO_L7P_T1_34
|
||||||
set_property -dict {PACKAGE_PIN J18 IOSTANDARD LVCMOS25} [get_ports spi_csn] ; ## D11 FMC_LPC_LA05_P IO_L7P_T1_34
|
set_property -dict {PACKAGE_PIN N19 IOSTANDARD LVCMOS25} [get_ports spi_clk] ; ## D08 FMC_LPC_LA01_CC_P IO_L14P_T2_SRCC_34
|
||||||
set_property -dict {PACKAGE_PIN N19 IOSTANDARD LVCMOS25} [get_ports spi_clk] ; ## D08 FMC_LPC_LA01_CC_P IO_L14P_T2_SRCC_34
|
set_property -dict {PACKAGE_PIN M22 IOSTANDARD LVCMOS25} [get_ports spi_mosi] ; ## H11 FMC_LPC_LA04_N IO_L15N_T2_DQS_34
|
||||||
set_property -dict {PACKAGE_PIN M22 IOSTANDARD LVCMOS25} [get_ports spi_mosi] ; ## H11 FMC_LPC_LA04_N IO_L15N_T2_DQS_34
|
set_property -dict {PACKAGE_PIN N22 IOSTANDARD LVCMOS25} [get_ports spi_miso] ; ## G09 FMC_LPC_LA03_P IO_L16P_T2_34
|
||||||
set_property -dict {PACKAGE_PIN N22 IOSTANDARD LVCMOS25} [get_ports spi_miso] ; ## G09 FMC_LPC_LA03_P IO_L16P_T2_34
|
set_property -dict {PACKAGE_PIN T19 IOSTANDARD LVCMOS25} [get_ports gpio_0_mode_0] ; ## C15 FMC_LPC_LA10_N IO_L22N_T3_34
|
||||||
set_property -dict {PACKAGE_PIN T19 IOSTANDARD LVCMOS25} [get_ports gpio_0_mode_0] ; ## C15 FMC_LPC_LA10_N IO_L22N_T3_34
|
set_property -dict {PACKAGE_PIN T16 IOSTANDARD LVCMOS25} [get_ports gpio_1_mode_1] ; ## H13 FMC_LPC_LA07_P IO_L21P_T3_DQS_34
|
||||||
set_property -dict {PACKAGE_PIN T16 IOSTANDARD LVCMOS25} [get_ports gpio_1_mode_1] ; ## H13 FMC_LPC_LA07_P IO_L21P_T3_DQS_34
|
set_property -dict {PACKAGE_PIN T17 IOSTANDARD LVCMOS25} [get_ports gpio_2_mode_2] ; ## H14 FMC_LPC_LA07_N IO_L21N_T3_DQS_34
|
||||||
set_property -dict {PACKAGE_PIN T17 IOSTANDARD LVCMOS25} [get_ports gpio_2_mode_2] ; ## H14 FMC_LPC_LA07_N IO_L21N_T3_DQS_34
|
set_property -dict {PACKAGE_PIN N17 IOSTANDARD LVCMOS25} [get_ports gpio_3_mode_3] ; ## H16 FMC_LPC_LA11_P IO_L5P_T0_34
|
||||||
set_property -dict {PACKAGE_PIN N17 IOSTANDARD LVCMOS25} [get_ports gpio_3_mode_3] ; ## H16 FMC_LPC_LA11_P IO_L5P_T0_34
|
set_property -dict {PACKAGE_PIN R19 IOSTANDARD LVCMOS25} [get_ports gpio_4_filter] ; ## C14 FMC_LPC_LA10_P IO_L22P_T3_34
|
||||||
set_property -dict {PACKAGE_PIN R19 IOSTANDARD LVCMOS25} [get_ports gpio_4_filter] ; ## C14 FMC_LPC_LA10_P IO_L22P_T3_34
|
set_property -dict {PACKAGE_PIN L21 IOSTANDARD LVCMOS25} [get_ports reset_n] ; ## C10 FMC_LPC_LA06_P IO_L10P_T1_34
|
||||||
set_property -dict {PACKAGE_PIN L21 IOSTANDARD LVCMOS25} [get_ports reset_n] ; ## C10 FMC_LPC_LA06_P IO_L10P_T1_34
|
set_property -dict {PACKAGE_PIN P22 IOSTANDARD LVCMOS25} [get_ports start_n] ; ## G10 FMC_LPC_LA03_N IO_L16N_T2_34
|
||||||
set_property -dict {PACKAGE_PIN P22 IOSTANDARD LVCMOS25} [get_ports start_n] ; ## G10 FMC_LPC_LA03_N IO_L16N_T2_34
|
set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVCMOS25} [get_ports mclk] ; ## D09 FMC_LPC_LA01_CC_N IO_L14N_T2_SRCC_34
|
||||||
set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVCMOS25} [get_ports mclk] ; ## D09 FMC_LPC_LA01_CC_N IO_L14N_T2_SRCC_34
|
|
||||||
|
|
||||||
create_clock -name adc_clk -period 20 [get_ports clk_in]
|
create_clock -name adc_clk -period 20 [get_ports clk_in]
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property -dict {PACKAGE_PIN AB9 IOSTANDARD LVCMOS33} [get_ports adc_clk_in] ; #DCLK P24_P9 JA1_9
|
set_property -dict {PACKAGE_PIN AB9 IOSTANDARD LVCMOS33} [get_ports adc_clk_in] ; #DCLK P24_P9 JA1_9
|
||||||
set_property -dict {PACKAGE_PIN AB10 IOSTANDARD LVCMOS33} [get_ports adc_ready_in] ; #DRDY_N P24_P8 JA1_8
|
set_property -dict {PACKAGE_PIN AB10 IOSTANDARD LVCMOS33} [get_ports adc_ready_in] ; #DRDY_N P24_P8 JA1_8
|
||||||
set_property -dict {PACKAGE_PIN AA8 IOSTANDARD LVCMOS33} [get_ports adc_data_in[0]] ; #DOUT0 P24_P10 JA1_10
|
set_property -dict {PACKAGE_PIN AA8 IOSTANDARD LVCMOS33} [get_ports adc_data_in[0]] ; #DOUT0 P24_P10 JA1_10
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
#
|
#
|
||||||
## mxfe
|
## mxfe
|
||||||
#
|
#
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# Primary clock definitions
|
# Primary clock definitions
|
||||||
create_clock -name refclk -period 2.667 [get_ports fpga_refclk_in_p]
|
create_clock -name refclk -period 2.667 [get_ports fpga_refclk_in_p]
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
#
|
#
|
||||||
## mxfe
|
## mxfe
|
||||||
#
|
#
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# Primary clock definitions
|
# Primary clock definitions
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
#
|
#
|
||||||
## mxfe
|
## mxfe
|
||||||
#
|
#
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# Primary clock definitions
|
# Primary clock definitions
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
#
|
#
|
||||||
## mxfe
|
## mxfe
|
||||||
#
|
#
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# Primary clock definitions
|
# Primary clock definitions
|
||||||
create_clock -name refclk -period 4 [get_ports fpga_refclk_in_p]
|
create_clock -name refclk -period 4 [get_ports fpga_refclk_in_p]
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
#
|
#
|
||||||
## mxfe
|
## mxfe
|
||||||
#
|
#
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# Primary clock definitions
|
# Primary clock definitions
|
||||||
create_clock -name refclk -period 1.29 [get_ports fpga_refclk_in_p]
|
create_clock -name refclk -period 1.29 [get_ports fpga_refclk_in_p]
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property -dict {PACKAGE_PIN A20 IOSTANDARD LVCMOS33 } [get_ports pmod0_0_1_PA_ON ]; ## J55.1
|
set_property -dict {PACKAGE_PIN A20 IOSTANDARD LVCMOS33 } [get_ports pmod0_0_1_PA_ON ]; ## J55.1
|
||||||
set_property -dict {PACKAGE_PIN B20 IOSTANDARD LVCMOS33 } [get_ports pmod0_1_3_MOSI ]; ## J55.3
|
set_property -dict {PACKAGE_PIN B20 IOSTANDARD LVCMOS33 } [get_ports pmod0_1_3_MOSI ]; ## J55.3
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ad9083
|
# ad9083
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ad9083
|
# ad9083
|
||||||
|
|
||||||
|
|
|
@ -1,8 +1,10 @@
|
||||||
#
|
###############################################################################
|
||||||
## dual_ad9208
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
#
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
#
|
#
|
||||||
|
## dual_ad9208
|
||||||
## FMCp_PORT FPGA_IO
|
## FMCp_PORT FPGA_IO
|
||||||
#
|
#
|
||||||
set_property -dict {PACKAGE_PIN AK38} [get_ports rx_ref_clk_0_p] ; ## GBTCLK0_M2C_P MGTREFCLK0P_121
|
set_property -dict {PACKAGE_PIN AK38} [get_ports rx_ref_clk_0_p] ; ## GBTCLK0_M2C_P MGTREFCLK0P_121
|
||||||
|
@ -88,4 +90,3 @@ create_clock -name global_clk_0 -period 2.66 [get_ports glbl_clk_0_p]
|
||||||
set_input_delay -clock [get_clocks global_clk_0] \
|
set_input_delay -clock [get_clocks global_clk_0] \
|
||||||
[expr [get_property PERIOD [get_clocks global_clk_0]] / 2] \
|
[expr [get_property PERIOD [get_clocks global_clk_0]] / 2] \
|
||||||
[get_ports {rx_sysref_*}]
|
[get_ports {rx_sysref_*}]
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
#
|
#
|
||||||
## mxfe
|
## mxfe
|
||||||
#
|
#
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# Primary clock definitions
|
# Primary clock definitions
|
||||||
create_clock -name refclk -period 2.667 [get_ports fpga_refclk_in_p]
|
create_clock -name refclk -period 2.667 [get_ports fpga_refclk_in_p]
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ad9265
|
# ad9265
|
||||||
|
|
||||||
|
|
|
@ -1,5 +1,9 @@
|
||||||
# ad9434
|
###############################################################################
|
||||||
|
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
|
# ad9434
|
||||||
set_property -dict {PACKAGE_PIN AE13 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports adc_clk_p] ; ## G6 FMC_LPC_LA00_CC_P
|
set_property -dict {PACKAGE_PIN AE13 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports adc_clk_p] ; ## G6 FMC_LPC_LA00_CC_P
|
||||||
set_property -dict {PACKAGE_PIN AF13 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports adc_clk_n] ; ## G7 FMC_LPC_LA00_CC_N
|
set_property -dict {PACKAGE_PIN AF13 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports adc_clk_n] ; ## G7 FMC_LPC_LA00_CC_N
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ad9467
|
# ad9467
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ad9467
|
# ad9467
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ad9656
|
# ad9656
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ad9695
|
# ad9695
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ad9739a
|
# ad9739a
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# constraints
|
# constraints
|
||||||
# ad9783
|
# ad9783
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ADC digital interface (JESD204B)
|
# ADC digital interface (JESD204B)
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# ADC digital interface (JESD204B)
|
# ADC digital interface (JESD204B)
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
#
|
#
|
||||||
## quad mxfe
|
## quad mxfe
|
||||||
#
|
#
|
||||||
|
|
|
@ -1,5 +1,9 @@
|
||||||
# Primary clock definitions
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
|
# Primary clock definitions
|
||||||
# These two reference clocks are connect to the same source on the PCB
|
# These two reference clocks are connect to the same source on the PCB
|
||||||
create_clock -name refclk -period 4.00 [get_ports fpga_clk_m2c_p[0]]
|
create_clock -name refclk -period 4.00 [get_ports fpga_clk_m2c_p[0]]
|
||||||
create_clock -name refclk_replica -period 4.00 [get_ports fpga_clk_m2c_0_replica_n]
|
create_clock -name refclk_replica -period 4.00 [get_ports fpga_clk_m2c_0_replica_n]
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# SPI interface
|
# SPI interface
|
||||||
|
|
||||||
|
@ -20,4 +24,3 @@ set_property -dict {PACKAGE_PIN D21 IOSTANDARD LVCMOS25} [get_ports adaq7980_gp
|
||||||
|
|
||||||
set_property -dict {PACKAGE_PIN A16 IOSTANDARD LVCMOS25} [get_ports adaq7980_ref_pd] ; ## FMC_LPC_LA28_P
|
set_property -dict {PACKAGE_PIN A16 IOSTANDARD LVCMOS25} [get_ports adaq7980_ref_pd] ; ## FMC_LPC_LA28_P
|
||||||
set_property -dict {PACKAGE_PIN C17 IOSTANDARD LVCMOS25} [get_ports adaq7980_rbuf_pd] ; ## FMC_LPC_LA29_P
|
set_property -dict {PACKAGE_PIN C17 IOSTANDARD LVCMOS25} [get_ports adaq7980_rbuf_pd] ; ## FMC_LPC_LA29_P
|
||||||
|
|
||||||
|
|
|
@ -1,5 +1,9 @@
|
||||||
# adaq8092
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
|
# adaq8092
|
||||||
set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports adc_clk_in_p] ; #G06 FMC_LPC_LA00_P
|
set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports adc_clk_in_p] ; #G06 FMC_LPC_LA00_P
|
||||||
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports adc_clk_in_n] ; #G07 FMC_LPC_LA00_N
|
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports adc_clk_in_n] ; #G07 FMC_LPC_LA00_N
|
||||||
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports adc_data_in1_p[0]] ; #H07 FMC_LPC_LA02_P D1_0
|
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports adc_data_in1_p[0]] ; #H07 FMC_LPC_LA02_P D1_0
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property -dict {PACKAGE_PIN AF13 IOSTANDARD LVCMOS18} [get_ports rx1_dclk_in_n] ;## G07 FMC_LPC_LA00_CC_N
|
set_property -dict {PACKAGE_PIN AF13 IOSTANDARD LVCMOS18} [get_ports rx1_dclk_in_n] ;## G07 FMC_LPC_LA00_CC_N
|
||||||
set_property -dict {PACKAGE_PIN AE13 IOSTANDARD LVCMOS18} [get_ports rx1_dclk_in_p] ;## G06 FMC_LPC_LA00_CC_P
|
set_property -dict {PACKAGE_PIN AE13 IOSTANDARD LVCMOS18} [get_ports rx1_dclk_in_p] ;## G06 FMC_LPC_LA00_CC_P
|
||||||
set_property -dict {PACKAGE_PIN AH12 IOSTANDARD LVCMOS18} [get_ports rx1_idata_in_n] ;## G10 FMC_LPC_LA03_N
|
set_property -dict {PACKAGE_PIN AH12 IOSTANDARD LVCMOS18} [get_ports rx1_idata_in_n] ;## G10 FMC_LPC_LA03_N
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
#
|
#
|
||||||
# !!! WARNING !!!
|
# !!! WARNING !!!
|
||||||
#
|
#
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property -dict {PACKAGE_PIN Y3 IOSTANDARD LVCMOS18 } [get_ports rx1_dclk_in_n] ;## FMC_HPC0_LA00_CC_N IO_L13N_T2L_N1_GC_QBC_66
|
set_property -dict {PACKAGE_PIN Y3 IOSTANDARD LVCMOS18 } [get_ports rx1_dclk_in_n] ;## FMC_HPC0_LA00_CC_N IO_L13N_T2L_N1_GC_QBC_66
|
||||||
set_property -dict {PACKAGE_PIN Y4 IOSTANDARD LVCMOS18 } [get_ports rx1_dclk_in_p] ;## FMC_HPC0_LA00_CC_P IO_L13P_T2L_N0_GC_QBC_66
|
set_property -dict {PACKAGE_PIN Y4 IOSTANDARD LVCMOS18 } [get_ports rx1_dclk_in_p] ;## FMC_HPC0_LA00_CC_P IO_L13P_T2L_N0_GC_QBC_66
|
||||||
set_property -dict {PACKAGE_PIN Y1 IOSTANDARD LVCMOS18 } [get_ports rx1_idata_in_n] ;## FMC_HPC0_LA03_N IO_L22N_T3U_N7_DBC_AD0N_66
|
set_property -dict {PACKAGE_PIN Y1 IOSTANDARD LVCMOS18 } [get_ports rx1_idata_in_n] ;## FMC_HPC0_LA03_N IO_L22N_T3U_N7_DBC_AD0N_66
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property -dict {PACKAGE_PIN Y3 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports rx1_dclk_in_n] ;## FMC_HPC0_LA00_CC_N IO_L13N_T2L_N1_GC_QBC_66
|
set_property -dict {PACKAGE_PIN Y3 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports rx1_dclk_in_n] ;## FMC_HPC0_LA00_CC_N IO_L13N_T2L_N1_GC_QBC_66
|
||||||
set_property -dict {PACKAGE_PIN Y4 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports rx1_dclk_in_p] ;## FMC_HPC0_LA00_CC_P IO_L13P_T2L_N0_GC_QBC_66
|
set_property -dict {PACKAGE_PIN Y4 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports rx1_dclk_in_p] ;## FMC_HPC0_LA00_CC_P IO_L13P_T2L_N0_GC_QBC_66
|
||||||
set_property -dict {PACKAGE_PIN Y1 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports rx1_idata_in_n] ;## FMC_HPC0_LA03_N IO_L22N_T3U_N7_DBC_AD0N_66
|
set_property -dict {PACKAGE_PIN Y1 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports rx1_idata_in_n] ;## FMC_HPC0_LA03_N IO_L22N_T3U_N7_DBC_AD0N_66
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property -dict {PACKAGE_PIN AA7 IOSTANDARD LVCMOS18} [get_ports dev_clk_in] ; #FMC_HPC0_CLK0_M2C_P IO_L12P_T1U_N10_GC_66
|
set_property -dict {PACKAGE_PIN AA7 IOSTANDARD LVCMOS18} [get_ports dev_clk_in] ; #FMC_HPC0_CLK0_M2C_P IO_L12P_T1U_N10_GC_66
|
||||||
set_property -dict {PACKAGE_PIN AC6 IOSTANDARD LVDS} [get_ports dev_mcs_fpga_out_n] ; #FMC_HPC0_LA14_N IO_L7N_T1L_N1_QBC_AD13N_66
|
set_property -dict {PACKAGE_PIN AC6 IOSTANDARD LVDS} [get_ports dev_mcs_fpga_out_n] ; #FMC_HPC0_LA14_N IO_L7N_T1L_N1_QBC_AD13N_66
|
||||||
set_property -dict {PACKAGE_PIN AC7 IOSTANDARD LVDS} [get_ports dev_mcs_fpga_out_p] ; #FMC_HPC0_LA14_P IO_L7P_T1L_N0_QBC_AD13P_66
|
set_property -dict {PACKAGE_PIN AC7 IOSTANDARD LVDS} [get_ports dev_mcs_fpga_out_p] ; #FMC_HPC0_LA14_P IO_L7P_T1L_N0_QBC_AD13P_66
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS18 } [get_ports rx1_dclk_in_n] ;## G07 FMC_HPC0_LA00_CC_N IO_L13N_T2_MRCC_34
|
set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS18 } [get_ports rx1_dclk_in_n] ;## G07 FMC_HPC0_LA00_CC_N IO_L13N_T2_MRCC_34
|
||||||
set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS18 } [get_ports rx1_dclk_in_p] ;## G06 FMC_HPC0_LA00_CC_P IO_L13P_T2_MRCC_34
|
set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS18 } [get_ports rx1_dclk_in_p] ;## G06 FMC_HPC0_LA00_CC_P IO_L13P_T2_MRCC_34
|
||||||
set_property -dict {PACKAGE_PIN P22 IOSTANDARD LVCMOS18 } [get_ports rx1_idata_in_n] ;## G10 FMC_HPC0_LA03_N IO_L16N_T2_34
|
set_property -dict {PACKAGE_PIN P22 IOSTANDARD LVCMOS18 } [get_ports rx1_idata_in_n] ;## G10 FMC_HPC0_LA03_N IO_L16N_T2_34
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# constraints
|
# constraints
|
||||||
# adrv9001
|
# adrv9001
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# adrv9009
|
# adrv9009
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# adrv9009
|
# adrv9009
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,8 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
set_property PACKAGE_PIN V10 [get_ports ref_clk_c_p];
|
set_property PACKAGE_PIN V10 [get_ports ref_clk_c_p];
|
||||||
set_property PACKAGE_PIN V9 [get_ports ref_clk_c_n];
|
set_property PACKAGE_PIN V9 [get_ports ref_clk_c_n];
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# gpios
|
# gpios
|
||||||
|
|
||||||
|
|
|
@ -1,3 +1,7 @@
|
||||||
|
###############################################################################
|
||||||
|
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
|
||||||
|
### SPDX short identifier: ADIBSD
|
||||||
|
###############################################################################
|
||||||
|
|
||||||
# gpios
|
# gpios
|
||||||
|
|
||||||
|
|
Some files were not shown because too many files have changed in this diff Show More
Loading…
Reference in New Issue