From 1cac2d82e1eab7cf8688d08a0d711a986e69150f Mon Sep 17 00:00:00 2001 From: Iulia Moldovan Date: Mon, 10 Jul 2023 11:38:46 +0300 Subject: [PATCH] Add copyright and license to .xdc files Signed-off-by: Iulia Moldovan --- library/axi_ad9122/axi_ad9122_constr.xdc | 4 ++ library/axi_ad9361/axi_ad9361_constr.xdc | 4 ++ library/axi_ad9434/axi_ad9434_constr.xdc | 5 ++ library/axi_ad9684/axi_ad9684_constr.xdc | 5 ++ library/axi_ad9963/axi_ad9963_constr.xdc | 5 ++ .../axi_adc_trigger_constr.xdc | 5 ++ library/axi_adrv9001/axi_adrv9001_constr.xdc | 5 ++ .../axi_dac_interpolate_constr.xdc | 6 ++- .../axi_fmcadc5_sync_constr.xdc | 4 ++ library/axi_hdmi_tx/axi_hdmi_tx_constr.xdc | 4 ++ library/axi_i2s_adi/axi_i2s_adi_constr.xdc | 5 ++ .../axi_laser_driver_constr.xdc | 4 ++ .../axi_logic_analyzer_constr.xdc | 5 ++ library/axi_spdif_tx/axi_spdif_tx_constr.xdc | 5 ++ library/util_adcfifo/util_adcfifo_constr.xdc | 4 ++ library/util_dacfifo/util_dacfifo_constr.xdc | 4 ++ .../util_gmii_to_rgmii_constr.xdc | 4 ++ library/util_hbm/util_hbm_constr.xdc | 5 ++ library/util_mfifo/util_mfifo_constr.xdc | 4 ++ library/util_rfifo/util_rfifo_constr.xdc | 4 ++ .../util_tdd_sync/util_tdd_sync_constr.xdc | 4 ++ library/util_wfifo/util_wfifo_constr.xdc | 4 ++ .../xilinx/axi_adcfifo/axi_adcfifo_constr.xdc | 4 ++ .../xilinx/axi_dacfifo/axi_dacfifo_constr.xdc | 5 ++ .../xilinx/axi_xcvrlb/axi_xcvrlb_constr.xdc | 4 ++ library/xilinx/common/ad_rst_constr.xdc | 5 ++ library/xilinx/common/up_clock_mon_constr.xdc | 4 ++ .../xilinx/common/up_xfer_cntrl_constr.xdc | 4 ++ .../xilinx/common/up_xfer_status_constr.xdc | 4 ++ .../xilinx/util_adxcvr/util_adxcvr_constr.xdc | 4 ++ .../xilinx/util_clkdiv/util_clkdiv_constr.xdc | 5 ++ .../ad40xx_fmc/zed/system_constr_ad40xx.xdc | 4 ++ .../ad40xx_fmc/zed/system_constr_adaq400x.xdc | 4 ++ projects/ad4110/zed/system_constr.xdc | 5 ++ projects/ad4134_fmc/zed/system_constr.xdc | 6 ++- projects/ad4630_fmc/zed/system_constr.xdc | 6 ++- .../ad4630_fmc/zed/system_constr_1sdi.xdc | 6 ++- .../ad4630_fmc/zed/system_constr_2sdi.xdc | 5 ++ .../ad4630_fmc/zed/system_constr_4sdi.xdc | 5 ++ .../ad4630_fmc/zed/system_constr_8sdi.xdc | 5 ++ projects/ad469x_fmc/zed/system_constr.xdc | 6 ++- projects/ad5758_sdz/zed/system_constr.xdc | 4 ++ projects/ad5766_sdz/zed/system_constr.xdc | 5 +- projects/ad6676evb/vc707/system_constr.xdc | 4 ++ projects/ad6676evb/zc706/system_constr.xdc | 4 ++ projects/ad7134_fmc/zed/system_constr.xdc | 5 ++ .../ad719x_asdz/coraz7s/system_constr.xdc | 6 ++- projects/ad738x_fmc/zed/system_constr.xdc | 5 +- .../zed/system_constr_differential.xdc | 4 ++ .../zed/system_constr_singlended.xdc | 4 ++ projects/ad7606x_fmc/zed/system_constr.xdc | 4 ++ .../ad7616_sdz/zc706/parallel_if_constr.xdc | 5 +- .../ad7616_sdz/zc706/serial_if_constr.xdc | 4 ++ .../ad7616_sdz/zed/parallel_if_constr.xdc | 5 +- projects/ad7616_sdz/zed/serial_if_constr.xdc | 4 ++ projects/ad77681evb/zed/system_constr.xdc | 5 +- projects/ad7768evb/zed/system_constr.xdc | 50 ++++++++++--------- projects/ad777x_ardz/zed/system_constr.xdc | 5 ++ .../ad9081_fmca_ebz/vck190/system_constr.xdc | 5 ++ .../ad9081_fmca_ebz/vck190/timing_constr.xdc | 5 ++ .../ad9081_fmca_ebz/vcu118/system_constr.xdc | 5 ++ .../ad9081_fmca_ebz/vcu118/timing_constr.xdc | 4 ++ .../ad9081_fmca_ebz/vcu128/system_constr.xdc | 5 ++ .../ad9081_fmca_ebz/vcu128/timing_constr.xdc | 4 ++ .../ad9081_fmca_ebz/zc706/system_constr.xdc | 5 ++ .../ad9081_fmca_ebz/zc706/timing_constr.xdc | 5 ++ .../ad9081_fmca_ebz/zcu102/system_constr.xdc | 5 ++ .../ad9081_fmca_ebz/zcu102/timing_constr.xdc | 5 ++ .../zcu102/xband_constr.xdc | 4 ++ projects/ad9083_evb/zcu102/system_constr.xdc | 4 ++ projects/ad9083_vna/zcu102/system_constr.xdc | 4 ++ .../ad9208_dual_ebz/vcu118/system_constr.xdc | 9 ++-- .../ad9209_fmca_ebz/vck190/system_constr.xdc | 5 ++ .../ad9209_fmca_ebz/vck190/timing_constr.xdc | 5 ++ projects/ad9265_fmc/zc706/system_constr.xdc | 4 ++ projects/ad9434_fmc/zc706/system_constr.xdc | 6 ++- projects/ad9467_fmc/kc705/system_constr.xdc | 4 ++ projects/ad9467_fmc/zed/system_constr.xdc | 4 ++ projects/ad9656_fmc/zcu102/system_constr.xdc | 4 ++ projects/ad9695_fmc/zcu102/system_constr.xdc | 4 ++ projects/ad9739a_fmc/zc706/system_constr.xdc | 4 ++ projects/ad9783_ebz/zcu102/system_constr.xdc | 4 ++ .../ad_fmclidar1_ebz/zc706/system_constr.xdc | 4 ++ .../ad_fmclidar1_ebz/zcu102/system_constr.xdc | 4 ++ .../ad_quadmxfe1_ebz/vcu118/system_constr.xdc | 5 ++ .../ad_quadmxfe1_ebz/vcu118/timing_constr.xdc | 6 ++- projects/adaq7980_sdz/zed/system_constr.xdc | 5 +- projects/adaq8092_fmc/zed/system_constr.xdc | 6 ++- projects/adrv9001/zc706/cmos_constr.xdc | 5 ++ projects/adrv9001/zc706/system_constr.xdc | 5 ++ projects/adrv9001/zcu102/cmos_constr.xdc | 5 ++ projects/adrv9001/zcu102/lvds_constr.xdc | 5 ++ projects/adrv9001/zcu102/system_constr.xdc | 5 ++ projects/adrv9001/zed/cmos_constr.xdc | 5 ++ projects/adrv9001/zed/system_constr.xdc | 4 ++ projects/adrv9009/zc706/system_constr.xdc | 4 ++ projects/adrv9009/zcu102/system_constr.xdc | 4 ++ .../adrv2crr_fmcomms8/fmcomms8_constr.xdc | 5 ++ .../adrv2crr_fmcxmwbr1/system_constr.xdc | 4 ++ .../adrv2crr_xmicrowave/system_constr.xdc | 4 ++ .../common/adrv2crr_fmc_constr.xdc | 4 ++ .../common/adrv9009zu11eg_constr.xdc | 4 ++ .../common/adrv9361z7035_constr.xdc | 4 ++ .../common/adrv9361z7035_constr_cmos.xdc | 4 ++ .../common/adrv9361z7035_constr_lvds.xdc | 4 ++ .../adrv9361z7035/common/ccbob_constr.xdc | 4 ++ .../adrv9361z7035/common/ccfmc_constr.xdc | 4 ++ .../adrv9361z7035/common/ccpackrf_constr.xdc | 4 ++ .../common/adrv9364z7020_constr.xdc | 4 ++ .../common/adrv9364z7020_constr_cmos.xdc | 4 ++ .../common/adrv9364z7020_constr_lvds.xdc | 4 ++ .../adrv9364z7020/common/ccbob_constr.xdc | 4 ++ .../adrv9364z7020/common/ccpackrf_constr.xdc | 4 ++ projects/adrv9371x/kcu105/system_constr.xdc | 4 ++ projects/adrv9371x/zc706/system_constr.xdc | 4 ++ projects/adrv9371x/zcu102/system_constr.xdc | 6 ++- projects/adv7511/zc702/system_constr.xdc | 4 ++ projects/cn0363/zed/system_constr.xdc | 4 ++ projects/cn0501/coraz7s/system_constr.xdc | 5 ++ projects/cn0540/coraz7s/system_constr.xdc | 4 ++ projects/cn0561/coraz7s/system_constr.xdc | 5 ++ projects/cn0561/zed/system_constr.xdc | 6 ++- projects/cn0577/zed/system_constr.xdc | 6 ++- projects/cn0579/coraz7s/system_constr.xdc | 5 ++ projects/common/ac701/ac701_system_constr.xdc | 4 ++ .../common/coraz7s/coraz7s_system_constr.xdc | 4 ++ projects/common/kc705/kc705_system_constr.xdc | 4 ++ .../common/kcu105/kcu105_system_constr.xdc | 4 ++ .../kcu105/kcu105_system_lutram_constr.xdc | 4 ++ projects/common/kv260/kv260_system_constr.xdc | 6 ++- .../microzed/microzed_system_constr.xdc | 4 ++ projects/common/vc707/vc707_system_constr.xdc | 4 ++ projects/common/vc709/vc709_system_constr.xdc | 4 ++ .../common/vck190/vck190_system_constr.xdc | 4 ++ .../common/vcu118/vcu118_system_constr.xdc | 4 ++ .../common/vcu128/vcu128_system_constr.xdc | 4 ++ .../common/vmk180/vmk180_system_constr.xdc | 4 ++ .../vmk180_es1/vmk180_es1_system_constr.xdc | 4 ++ .../common/xilinx/adi_fir_filter_constr.xdc | 6 ++- projects/common/zc702/zc702_system_constr.xdc | 4 ++ projects/common/zc706/zc706_plddr3_constr.xdc | 4 ++ projects/common/zc706/zc706_system_constr.xdc | 4 ++ .../common/zcu102/zcu102_system_constr.xdc | 4 ++ projects/common/zed/zed_system_constr.xdc | 4 ++ projects/dac_fmc_ebz/vcu118/system_constr.xdc | 4 ++ projects/dac_fmc_ebz/zc706/system_constr.xdc | 39 ++------------- projects/dac_fmc_ebz/zcu102/system_constr.xdc | 4 ++ projects/daq2/kc705/system_constr.xdc | 4 ++ projects/daq2/kcu105/system_constr.xdc | 4 ++ projects/daq2/zc706/system_constr.xdc | 4 ++ projects/daq2/zcu102/system_constr.xdc | 4 ++ projects/daq3/kcu105/system_constr.xdc | 4 ++ projects/daq3/vcu118/system_constr.xdc | 4 ++ projects/daq3/zc706/system_constr.xdc | 4 ++ projects/daq3/zcu102/system_constr.xdc | 6 ++- projects/fmcadc2/vc707/system_constr.xdc | 4 ++ projects/fmcadc2/zc706/system_constr.xdc | 4 ++ projects/fmcadc5/vc707/system_constr.xdc | 4 ++ projects/fmcjesdadc1/kc705/system_constr.xdc | 4 ++ projects/fmcjesdadc1/vc707/system_constr.xdc | 4 ++ projects/fmcjesdadc1/zc706/system_constr.xdc | 4 ++ projects/fmcomms11/zc706/system_constr.xdc | 4 ++ projects/fmcomms2/kc705/system_constr.xdc | 4 ++ projects/fmcomms2/kcu105/system_constr.xdc | 4 ++ projects/fmcomms2/vc707/system_constr.xdc | 4 ++ projects/fmcomms2/zc702/system_constr.xdc | 4 ++ projects/fmcomms2/zc706/system_constr.xdc | 4 ++ projects/fmcomms2/zcu102/system_constr.xdc | 4 ++ projects/fmcomms2/zed/system_constr.xdc | 4 ++ projects/fmcomms5/zc702/system_constr.xdc | 4 ++ projects/fmcomms5/zc706/system_constr.xdc | 4 ++ projects/fmcomms5/zcu102/system_constr.xdc | 4 ++ projects/fmcomms8/zcu102/system_constr.xdc | 4 ++ projects/imageon/zed/system_constr.xdc | 4 ++ projects/m2k/standalone/system_constr.xdc | 4 ++ projects/pluto/system_constr.xdc | 5 ++ .../pulsar_adc_pmdz/coraz7s/system_constr.xdc | 6 ++- projects/sidekiqz2/system_constr.xdc | 5 ++ projects/usrpe31x/system_constr.xdc | 4 ++ 179 files changed, 796 insertions(+), 85 deletions(-) diff --git a/library/axi_ad9122/axi_ad9122_constr.xdc b/library/axi_ad9122/axi_ad9122_constr.xdc index 36143c266..12ff96396 100644 --- a/library/axi_ad9122/axi_ad9122_constr.xdc +++ b/library/axi_ad9122/axi_ad9122_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_false_path -from [get_cells -hier -filter {name =~ *up_drp_locked_reg && IS_SEQUENTIAL}] \ -to [get_cells -hier -filter {name =~ *dac_status_m1_reg && IS_SEQUENTIAL}] diff --git a/library/axi_ad9361/axi_ad9361_constr.xdc b/library/axi_ad9361/axi_ad9361_constr.xdc index 334f0481d..86a7a75a3 100644 --- a/library/axi_ad9361/axi_ad9361_constr.xdc +++ b/library/axi_ad9361/axi_ad9361_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property ASYNC_REG TRUE \ [get_cells -hier *enable_up_*] \ diff --git a/library/axi_ad9434/axi_ad9434_constr.xdc b/library/axi_ad9434/axi_ad9434_constr.xdc index eb8b7d9e3..e1ba0686b 100644 --- a/library/axi_ad9434/axi_ad9434_constr.xdc +++ b/library/axi_ad9434/axi_ad9434_constr.xdc @@ -1,2 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_false_path -from [get_cells -hier -filter {name =~ *up_drp_locked_reg && IS_SEQUENTIAL}] -to \ [get_cells -hier -filter {name =~ *adc_status_m1_reg && IS_SEQUENTIAL}] diff --git a/library/axi_ad9684/axi_ad9684_constr.xdc b/library/axi_ad9684/axi_ad9684_constr.xdc index fa9ce5ae5..97b0a99b8 100644 --- a/library/axi_ad9684/axi_ad9684_constr.xdc +++ b/library/axi_ad9684/axi_ad9684_constr.xdc @@ -1 +1,6 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_false_path -from [get_cells -hier -filter {name =~ *up_drp_locked_reg && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *adc_status_m1_reg && IS_SEQUENTIAL}] diff --git a/library/axi_ad9963/axi_ad9963_constr.xdc b/library/axi_ad9963/axi_ad9963_constr.xdc index e22e38d23..fffa0bf6c 100644 --- a/library/axi_ad9963/axi_ad9963_constr.xdc +++ b/library/axi_ad9963/axi_ad9963_constr.xdc @@ -1 +1,6 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_false_path -from [get_cells -hier -filter {name =~ *up_*clk_enb* && IS_SEQUENTIAL}] -to [get_pins -hier -filter {name =~ *bufgctrl*/S0}] diff --git a/library/axi_adc_trigger/axi_adc_trigger_constr.xdc b/library/axi_adc_trigger/axi_adc_trigger_constr.xdc index 9827fc7d7..678a4ac53 100644 --- a/library/axi_adc_trigger/axi_adc_trigger_constr.xdc +++ b/library/axi_adc_trigger/axi_adc_trigger_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_toggle*}] set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_a_d*}] set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_b_d*}] diff --git a/library/axi_adrv9001/axi_adrv9001_constr.xdc b/library/axi_adrv9001/axi_adrv9001_constr.xdc index 35670994d..c3f6f3d91 100644 --- a/library/axi_adrv9001/axi_adrv9001_constr.xdc +++ b/library/axi_adrv9001/axi_adrv9001_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_false_path -quiet -from [get_cells -quiet -hier *in_toggle_d1_reg* -filter {NAME =~ *i_serdes* && IS_SEQUENTIAL}] set_false_path -quiet -from [get_cells -quiet -hier *out_toggle_d1_reg* -filter {NAME =~ *i_serdes* && IS_SEQUENTIAL}] diff --git a/library/axi_dac_interpolate/axi_dac_interpolate_constr.xdc b/library/axi_dac_interpolate/axi_dac_interpolate_constr.xdc index 68d1042ce..9abe44a4c 100644 --- a/library/axi_dac_interpolate/axi_dac_interpolate_constr.xdc +++ b/library/axi_dac_interpolate/axi_dac_interpolate_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_i_m*}] set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_adc_m*}] set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_la_m*}] @@ -5,4 +10,3 @@ set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_la_m*}] set_false_path -to [get_cells -hier -filter {name =~ *trigger_i_m1_reg* && IS_SEQUENTIAL}] set_false_path -to [get_cells -hier -filter {name =~ *trigger_adc_m1_reg* && IS_SEQUENTIAL}] set_false_path -to [get_cells -hier -filter {name =~ *trigger_la_m1_reg* && IS_SEQUENTIAL}] - diff --git a/library/axi_fmcadc5_sync/axi_fmcadc5_sync_constr.xdc b/library/axi_fmcadc5_sync/axi_fmcadc5_sync_constr.xdc index f57810cef..efc47c167 100644 --- a/library/axi_fmcadc5_sync/axi_fmcadc5_sync_constr.xdc +++ b/library/axi_fmcadc5_sync/axi_fmcadc5_sync_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_cal_done_t_m1* && IS_SEQUENTIAL}] set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_sysref_ack_t_m1* && IS_SEQUENTIAL}] diff --git a/library/axi_hdmi_tx/axi_hdmi_tx_constr.xdc b/library/axi_hdmi_tx/axi_hdmi_tx_constr.xdc index d7ab709ab..572f7a93e 100644 --- a/library/axi_hdmi_tx/axi_hdmi_tx_constr.xdc +++ b/library/axi_hdmi_tx/axi_hdmi_tx_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *vdma_fs_toggle*}] set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *vdma_raddr_g*}] diff --git a/library/axi_i2s_adi/axi_i2s_adi_constr.xdc b/library/axi_i2s_adi/axi_i2s_adi_constr.xdc index 8894a53b1..43e54e9f6 100644 --- a/library/axi_i2s_adi/axi_i2s_adi_constr.xdc +++ b/library/axi_i2s_adi/axi_i2s_adi_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set ctrl_clk [get_clocks -of_objects [get_ports s_axi_aclk]] set data_clk [get_clocks -of_objects [get_ports data_clk_i]] diff --git a/library/axi_laser_driver/axi_laser_driver_constr.xdc b/library/axi_laser_driver/axi_laser_driver_constr.xdc index e037f50ed..a459e75d8 100644 --- a/library/axi_laser_driver/axi_laser_driver_constr.xdc +++ b/library/axi_laser_driver/axi_laser_driver_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property ASYNC_REG TRUE \ [get_cells -hier {*cdc_sync_stage1_reg*}] \ diff --git a/library/axi_logic_analyzer/axi_logic_analyzer_constr.xdc b/library/axi_logic_analyzer/axi_logic_analyzer_constr.xdc index 05a029067..eb4962b7c 100644 --- a/library/axi_logic_analyzer/axi_logic_analyzer_constr.xdc +++ b/library/axi_logic_analyzer/axi_logic_analyzer_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_triggered_d*}] set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_triggered_reset_d*}] set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *data_fixed_delay*}] diff --git a/library/axi_spdif_tx/axi_spdif_tx_constr.xdc b/library/axi_spdif_tx/axi_spdif_tx_constr.xdc index c874131d7..cb60b14f0 100644 --- a/library/axi_spdif_tx/axi_spdif_tx_constr.xdc +++ b/library/axi_spdif_tx/axi_spdif_tx_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property ASYNC_REG TRUE \ [get_cells -hier cdc_sync_stage1_*_reg] \ [get_cells -hier cdc_sync_stage2_*_reg] diff --git a/library/util_adcfifo/util_adcfifo_constr.xdc b/library/util_adcfifo/util_adcfifo_constr.xdc index 730d470de..c144dbd47 100644 --- a/library/util_adcfifo/util_adcfifo_constr.xdc +++ b/library/util_adcfifo/util_adcfifo_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property ASYNC_REG TRUE \ [get_cells -hier -filter {name =~ *adc_xfer_req_m*}] \ diff --git a/library/util_dacfifo/util_dacfifo_constr.xdc b/library/util_dacfifo/util_dacfifo_constr.xdc index 81df3e77b..8634b10a4 100644 --- a/library/util_dacfifo/util_dacfifo_constr.xdc +++ b/library/util_dacfifo/util_dacfifo_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property ASYNC_REG TRUE [get_cells -hierarchical -filter {name =~ *dac_waddr_m*}] \ [get_cells -hierarchical -filter {name =~ *dac_lastaddr_m*}] \ diff --git a/library/util_gmii_to_rgmii/util_gmii_to_rgmii_constr.xdc b/library/util_gmii_to_rgmii/util_gmii_to_rgmii_constr.xdc index cbd47fc46..78dfd6c2b 100644 --- a/library/util_gmii_to_rgmii/util_gmii_to_rgmii_constr.xdc +++ b/library/util_gmii_to_rgmii/util_gmii_to_rgmii_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property ASYNC_REG TRUE \ [get_cells -hier *tx_reset_d1_reg*] \ diff --git a/library/util_hbm/util_hbm_constr.xdc b/library/util_hbm/util_hbm_constr.xdc index 4ff59d373..839c86089 100644 --- a/library/util_hbm/util_hbm_constr.xdc +++ b/library/util_hbm/util_hbm_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property ASYNC_REG TRUE \ [get_cells -quiet -hier *cdc_sync_stage1_reg*] \ [get_cells -quiet -hier *cdc_sync_stage2_reg*] diff --git a/library/util_mfifo/util_mfifo_constr.xdc b/library/util_mfifo/util_mfifo_constr.xdc index f784d6db2..45842028c 100644 --- a/library/util_mfifo/util_mfifo_constr.xdc +++ b/library/util_mfifo/util_mfifo_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property SHREG_EXTRACT NO [get_cells -hier *din_dout_toggle_m*] set_property SHREG_EXTRACT NO [get_cells -hier *dout_din_toggle_m*] diff --git a/library/util_rfifo/util_rfifo_constr.xdc b/library/util_rfifo/util_rfifo_constr.xdc index 2eca8f971..75ad6ed4b 100644 --- a/library/util_rfifo/util_rfifo_constr.xdc +++ b/library/util_rfifo/util_rfifo_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *din_enable_m*}] set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *din_req_t_m*}] diff --git a/library/util_tdd_sync/util_tdd_sync_constr.xdc b/library/util_tdd_sync/util_tdd_sync_constr.xdc index 2e92f87b2..33aedc91c 100644 --- a/library/util_tdd_sync/util_tdd_sync_constr.xdc +++ b/library/util_tdd_sync/util_tdd_sync_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property ASYNC_REG TRUE \ [get_cells -hier *sync_mode_d*] diff --git a/library/util_wfifo/util_wfifo_constr.xdc b/library/util_wfifo/util_wfifo_constr.xdc index 4f75613e0..a2b560414 100644 --- a/library/util_wfifo/util_wfifo_constr.xdc +++ b/library/util_wfifo/util_wfifo_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *dout_enable_m*}] set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *dout_req_t_m*}] diff --git a/library/xilinx/axi_adcfifo/axi_adcfifo_constr.xdc b/library/xilinx/axi_adcfifo/axi_adcfifo_constr.xdc index 26a2c7877..54fe4ed43 100644 --- a/library/xilinx/axi_adcfifo/axi_adcfifo_constr.xdc +++ b/library/xilinx/axi_adcfifo/axi_adcfifo_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property ASYNC_REG TRUE \ [get_cells -hier *axi_waddr_m1_reg*] \ diff --git a/library/xilinx/axi_dacfifo/axi_dacfifo_constr.xdc b/library/xilinx/axi_dacfifo/axi_dacfifo_constr.xdc index 258b67b0f..0eed17377 100644 --- a/library/xilinx/axi_dacfifo/axi_dacfifo_constr.xdc +++ b/library/xilinx/axi_dacfifo/axi_dacfifo_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property ASYNC_REG TRUE \ [get_cells -hier *dma_mem_*_m*] \ [get_cells -hier *axi_xfer_*_m*] \ diff --git a/library/xilinx/axi_xcvrlb/axi_xcvrlb_constr.xdc b/library/xilinx/axi_xcvrlb/axi_xcvrlb_constr.xdc index 3fbcf4efc..c92bc2c75 100644 --- a/library/xilinx/axi_xcvrlb/axi_xcvrlb_constr.xdc +++ b/library/xilinx/axi_xcvrlb/axi_xcvrlb_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_xfer_state*}] set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_toggle*}] diff --git a/library/xilinx/common/ad_rst_constr.xdc b/library/xilinx/common/ad_rst_constr.xdc index 1030f52d9..b39c7b7aa 100644 --- a/library/xilinx/common/ad_rst_constr.xdc +++ b/library/xilinx/common/ad_rst_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # the "-quiet" option is added for the axi_spi_engine ip where the ad_rst.v # module is not always inferred and this causes critical warnings diff --git a/library/xilinx/common/up_clock_mon_constr.xdc b/library/xilinx/common/up_clock_mon_constr.xdc index 71e73a927..8bb0ae345 100644 --- a/library/xilinx/common/up_clock_mon_constr.xdc +++ b/library/xilinx/common/up_clock_mon_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property ASYNC_REG true [get_cells -hierarchical -filter {name =~ *up_count_running_m*}] set_property ASYNC_REG true [get_cells -hierarchical -filter {name =~ *d_count_run_m*}] diff --git a/library/xilinx/common/up_xfer_cntrl_constr.xdc b/library/xilinx/common/up_xfer_cntrl_constr.xdc index c089f82bf..34c1783b3 100644 --- a/library/xilinx/common/up_xfer_cntrl_constr.xdc +++ b/library/xilinx/common/up_xfer_cntrl_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_state*}] set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_xfer_toggle_m*}] diff --git a/library/xilinx/common/up_xfer_status_constr.xdc b/library/xilinx/common/up_xfer_status_constr.xdc index 92231132b..e5964379c 100644 --- a/library/xilinx/common/up_xfer_status_constr.xdc +++ b/library/xilinx/common/up_xfer_status_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_xfer_state*}] set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_toggle_m*}] diff --git a/library/xilinx/util_adxcvr/util_adxcvr_constr.xdc b/library/xilinx/util_adxcvr/util_adxcvr_constr.xdc index c6c621eed..58ed54f31 100644 --- a/library/xilinx/util_adxcvr/util_adxcvr_constr.xdc +++ b/library/xilinx/util_adxcvr/util_adxcvr_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property ASYNC_REG TRUE -quiet [get_cells -hier -filter {name =~ *up_rx_rst_done*}] set_property ASYNC_REG TRUE -quiet [get_cells -hier -filter {name =~ *up_tx_rst_done*}] diff --git a/library/xilinx/util_clkdiv/util_clkdiv_constr.xdc b/library/xilinx/util_clkdiv/util_clkdiv_constr.xdc index f120df1e9..48d517774 100644 --- a/library/xilinx/util_clkdiv/util_clkdiv_constr.xdc +++ b/library/xilinx/util_clkdiv/util_clkdiv_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_clock_groups \ -group [get_clocks -of_objects [get_pins clk_divide_sel_0/O]] \ -group [get_clocks -of_objects [get_pins clk_divide_sel_1/O]] \ diff --git a/projects/ad40xx_fmc/zed/system_constr_ad40xx.xdc b/projects/ad40xx_fmc/zed/system_constr_ad40xx.xdc index ba582677c..e33ac3d1b 100644 --- a/projects/ad40xx_fmc/zed/system_constr_ad40xx.xdc +++ b/projects/ad40xx_fmc/zed/system_constr_ad40xx.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad40xx_fmc SPI interface diff --git a/projects/ad40xx_fmc/zed/system_constr_adaq400x.xdc b/projects/ad40xx_fmc/zed/system_constr_adaq400x.xdc index 874188dbf..62481d5d9 100644 --- a/projects/ad40xx_fmc/zed/system_constr_adaq400x.xdc +++ b/projects/ad40xx_fmc/zed/system_constr_adaq400x.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # adaq400x PMOD SPI interface - the PMOD JA1 is used diff --git a/projects/ad4110/zed/system_constr.xdc b/projects/ad4110/zed/system_constr.xdc index 600cd20cc..310b868ac 100644 --- a/projects/ad4110/zed/system_constr.xdc +++ b/projects/ad4110/zed/system_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property -dict {PACKAGE_PIN Y11 IOSTANDARD LVCMOS33} [get_ports spi_csn] set_property -dict {PACKAGE_PIN AA8 IOSTANDARD LVCMOS33} [get_ports spi_clk] set_property -dict {PACKAGE_PIN AA11 IOSTANDARD LVCMOS33} [get_ports spi_mosi] diff --git a/projects/ad4134_fmc/zed/system_constr.xdc b/projects/ad4134_fmc/zed/system_constr.xdc index d8052a2d4..40d80407e 100755 --- a/projects/ad4134_fmc/zed/system_constr.xdc +++ b/projects/ad4134_fmc/zed/system_constr.xdc @@ -1,5 +1,9 @@ -# ad4134 SPI configuration interface +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ad4134 SPI configuration interface set_property -dict {PACKAGE_PIN N22 IOSTANDARD LVCMOS25} [get_ports ad4134_spi_sdi] ; ## FMC_LPC_LA03_P set_property -dict {PACKAGE_PIN M22 IOSTANDARD LVCMOS25} [get_ports ad4134_spi_sdo] ; ## FMC_LPC_LA04_N set_property -dict {PACKAGE_PIN N19 IOSTANDARD LVCMOS25} [get_ports ad4134_spi_sclk] ; ## FMC_LPC_LA01_CC_P diff --git a/projects/ad4630_fmc/zed/system_constr.xdc b/projects/ad4630_fmc/zed/system_constr.xdc index 78a4e3f68..1550d9353 100644 --- a/projects/ad4630_fmc/zed/system_constr.xdc +++ b/projects/ad4630_fmc/zed/system_constr.xdc @@ -1,5 +1,9 @@ -# ad463x_fmc SPI interface +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ad463x_fmc SPI interface set_property -dict {PACKAGE_PIN L22 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad463x_spi_sdo] set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad463x_spi_sclk] set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_cs] diff --git a/projects/ad4630_fmc/zed/system_constr_1sdi.xdc b/projects/ad4630_fmc/zed/system_constr_1sdi.xdc index 1d72acc92..ff30f1158 100644 --- a/projects/ad4630_fmc/zed/system_constr_1sdi.xdc +++ b/projects/ad4630_fmc/zed/system_constr_1sdi.xdc @@ -1,5 +1,9 @@ -set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi] ; ## H07 FMC_LPC_LA02_P +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi] ; ## H07 FMC_LPC_LA02_P # input delays for MISO lines (SDO for the device) # data is latched on negative edge diff --git a/projects/ad4630_fmc/zed/system_constr_2sdi.xdc b/projects/ad4630_fmc/zed/system_constr_2sdi.xdc index 7e23a9060..179c562f7 100644 --- a/projects/ad4630_fmc/zed/system_constr_2sdi.xdc +++ b/projects/ad4630_fmc/zed/system_constr_2sdi.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi[0]] ; ## H07 FMC_LPC_LA02_P set_property -dict {PACKAGE_PIN M21 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi[1]] ; ## H10 FMC_LPC_LA04_P diff --git a/projects/ad4630_fmc/zed/system_constr_4sdi.xdc b/projects/ad4630_fmc/zed/system_constr_4sdi.xdc index 26f527d21..3d6545447 100644 --- a/projects/ad4630_fmc/zed/system_constr_4sdi.xdc +++ b/projects/ad4630_fmc/zed/system_constr_4sdi.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports {ad463x_spi_sdi[0]}] set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS25} [get_ports {ad463x_spi_sdi[1]}] set_property -dict {PACKAGE_PIN M21 IOSTANDARD LVCMOS25} [get_ports {ad463x_spi_sdi[2]}] diff --git a/projects/ad4630_fmc/zed/system_constr_8sdi.xdc b/projects/ad4630_fmc/zed/system_constr_8sdi.xdc index f2e5c537b..d8819d0e1 100644 --- a/projects/ad4630_fmc/zed/system_constr_8sdi.xdc +++ b/projects/ad4630_fmc/zed/system_constr_8sdi.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi[0]] ; ## H07 FMC_LPC_LA02_P set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi[1]] ; ## H08 FMC_LPC_LA02_N set_property -dict {PACKAGE_PIN N22 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi[2]] ; ## G09 FMC_LPC_LA03_P diff --git a/projects/ad469x_fmc/zed/system_constr.xdc b/projects/ad469x_fmc/zed/system_constr.xdc index b38ab6939..fb2ccb94c 100644 --- a/projects/ad469x_fmc/zed/system_constr.xdc +++ b/projects/ad469x_fmc/zed/system_constr.xdc @@ -1,5 +1,9 @@ -# ad4696_fmc SPI interface +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ad4696_fmc SPI interface set_property -dict {PACKAGE_PIN N19 IOSTANDARD LVCMOS25} [get_ports ad469x_spi_sdi] ; ## D08 FMC_LPC_LA01_CC_P set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports ad469x_spi_sdo] ; ## H07 FMC_LPC_LA02_P set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVCMOS25} [get_ports ad469x_spi_sclk] ; ## D09 FMC_LPC_LA01_CC_N diff --git a/projects/ad5758_sdz/zed/system_constr.xdc b/projects/ad5758_sdz/zed/system_constr.xdc index 22329c7ce..57c03665c 100644 --- a/projects/ad5758_sdz/zed/system_constr.xdc +++ b/projects/ad5758_sdz/zed/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # DAC SPI interface diff --git a/projects/ad5766_sdz/zed/system_constr.xdc b/projects/ad5766_sdz/zed/system_constr.xdc index 056a3012b..a0556f79f 100644 --- a/projects/ad5766_sdz/zed/system_constr.xdc +++ b/projects/ad5766_sdz/zed/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # SPI interface @@ -9,4 +13,3 @@ set_property -dict {PACKAGE_PIN J16 IOSTANDARD LVCMOS25} [get_ports spi_cs] # reset signal set_property -dict {PACKAGE_PIN E19 IOSTANDARD LVCMOS25} [get_ports reset] ; ## FMC_LPC_LA21_P - diff --git a/projects/ad6676evb/vc707/system_constr.xdc b/projects/ad6676evb/vc707/system_constr.xdc index 0da921f4b..0da0e065d 100644 --- a/projects/ad6676evb/vc707/system_constr.xdc +++ b/projects/ad6676evb/vc707/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad6676 diff --git a/projects/ad6676evb/zc706/system_constr.xdc b/projects/ad6676evb/zc706/system_constr.xdc index 7a7d74f54..97cf58a25 100644 --- a/projects/ad6676evb/zc706/system_constr.xdc +++ b/projects/ad6676evb/zc706/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad6676 diff --git a/projects/ad7134_fmc/zed/system_constr.xdc b/projects/ad7134_fmc/zed/system_constr.xdc index 24ddae262..fc6ff8d0e 100644 --- a/projects/ad7134_fmc/zed/system_constr.xdc +++ b/projects/ad7134_fmc/zed/system_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # ad713x SPI configuration interface set_property -dict {PACKAGE_PIN N22 IOSTANDARD LVCMOS25} [get_ports ad713x_spi_sdi] ; ## FMC_LPC_LA03_P diff --git a/projects/ad719x_asdz/coraz7s/system_constr.xdc b/projects/ad719x_asdz/coraz7s/system_constr.xdc index 2515c8d52..a8bb44002 100644 --- a/projects/ad719x_asdz/coraz7s/system_constr.xdc +++ b/projects/ad719x_asdz/coraz7s/system_constr.xdc @@ -1,5 +1,9 @@ -# coraz7s +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# coraz7s # ad719x spi connections set_property -dict {PACKAGE_PIN Y17 IOSTANDARD LVCMOS33} [get_ports {adc_spi_sclk}]; # IO_L7N_T1_34 Sch=ja_n[2] diff --git a/projects/ad738x_fmc/zed/system_constr.xdc b/projects/ad738x_fmc/zed/system_constr.xdc index 1347039c0..34aa91d84 100644 --- a/projects/ad738x_fmc/zed/system_constr.xdc +++ b/projects/ad738x_fmc/zed/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # SPI interface @@ -6,4 +10,3 @@ set_property -dict {PACKAGE_PIN N19 IOSTANDARD LVCMOS25} [get_ports spi_sdia] set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVCMOS25} [get_ports spi_sdib] ; ## FMC_LPC_LA01_CC_N set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports spi_sdo] ; ## FMC_LPC_LA02_P set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS25} [get_ports spi_cs] ; ## FMC_LPC_LA00_CC_N - diff --git a/projects/ad7405_fmc/zed/system_constr_differential.xdc b/projects/ad7405_fmc/zed/system_constr_differential.xdc index a75df5fbf..5c1e9d9db 100644 --- a/projects/ad7405_fmc/zed/system_constr_differential.xdc +++ b/projects/ad7405_fmc/zed/system_constr_differential.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVDS_25} [get_ports adc_clk_p] ; ## FMC_LPC_LA00_CC_P set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVDS_25} [get_ports adc_clk_n] ; ## FMC_LPC_LA00_CC_N diff --git a/projects/ad7405_fmc/zed/system_constr_singlended.xdc b/projects/ad7405_fmc/zed/system_constr_singlended.xdc index 60909d763..d1ff22a86 100644 --- a/projects/ad7405_fmc/zed/system_constr_singlended.xdc +++ b/projects/ad7405_fmc/zed/system_constr_singlended.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS25} [get_ports adc_clk] ; ## FMC_LPC_LA00_CC_P set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS25} [get_ports adc_data] ; ## FMC_LPC_LA00_CC_N diff --git a/projects/ad7606x_fmc/zed/system_constr.xdc b/projects/ad7606x_fmc/zed/system_constr.xdc index 03b553be9..eb33685d9 100644 --- a/projects/ad7606x_fmc/zed/system_constr.xdc +++ b/projects/ad7606x_fmc/zed/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad7606x diff --git a/projects/ad7616_sdz/zc706/parallel_if_constr.xdc b/projects/ad7616_sdz/zc706/parallel_if_constr.xdc index 97007b624..fcc8b038a 100644 --- a/projects/ad7616_sdz/zc706/parallel_if_constr.xdc +++ b/projects/ad7616_sdz/zc706/parallel_if_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad7616 @@ -33,4 +37,3 @@ set_property -dict {PACKAGE_PIN AC13 IOSTANDARD LVCMOS25} [get_ports adc_bus set_property -dict {PACKAGE_PIN AJ28 IOSTANDARD LVCMOS25} [get_ports adc_seq_en] ; ## FMC_LPC_LA27_P set_property -dict {PACKAGE_PIN AK28 IOSTANDARD LVCMOS25} [get_ports adc_reset_n] ; ## FMC_LPC_LA22_N set_property -dict {PACKAGE_PIN AK15 IOSTANDARD LVCMOS25} [get_ports adc_cs_n] ; ## FMC_LPC_LA04_N - diff --git a/projects/ad7616_sdz/zc706/serial_if_constr.xdc b/projects/ad7616_sdz/zc706/serial_if_constr.xdc index b9e6cb514..853facaab 100644 --- a/projects/ad7616_sdz/zc706/serial_if_constr.xdc +++ b/projects/ad7616_sdz/zc706/serial_if_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad7616 diff --git a/projects/ad7616_sdz/zed/parallel_if_constr.xdc b/projects/ad7616_sdz/zed/parallel_if_constr.xdc index 4c2e01de6..5032540ba 100644 --- a/projects/ad7616_sdz/zed/parallel_if_constr.xdc +++ b/projects/ad7616_sdz/zed/parallel_if_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad7616 @@ -34,4 +38,3 @@ set_property -dict {PACKAGE_PIN T19 IOSTANDARD LVCMOS25} [get_ports adc_busy set_property -dict {PACKAGE_PIN E21 IOSTANDARD LVCMOS25} [get_ports adc_seq_en] ; ## FMC_LPC_LA27_P set_property -dict {PACKAGE_PIN F19 IOSTANDARD LVCMOS25} [get_ports adc_reset_n] ; ## FMC_LPC_LA22_N set_property -dict {PACKAGE_PIN M22 IOSTANDARD LVCMOS25} [get_ports adc_cs_n] ; ## FMC_LPC_LA04_N - diff --git a/projects/ad7616_sdz/zed/serial_if_constr.xdc b/projects/ad7616_sdz/zed/serial_if_constr.xdc index ad7850809..a1336b63a 100644 --- a/projects/ad7616_sdz/zed/serial_if_constr.xdc +++ b/projects/ad7616_sdz/zed/serial_if_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad7616 diff --git a/projects/ad77681evb/zed/system_constr.xdc b/projects/ad77681evb/zed/system_constr.xdc index 824000fe3..6f2c35f1a 100644 --- a/projects/ad77681evb/zed/system_constr.xdc +++ b/projects/ad77681evb/zed/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # SPI interface @@ -19,4 +23,3 @@ set_property -dict {PACKAGE_PIN N17 IOSTANDARD LVCMOS25} set_property -dict {PACKAGE_PIN J18 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad7768_drdy] ; ## FMC_LPC_LA05_P set_property -dict {PACKAGE_PIN L19 IOSTANDARD LVCMOS25} [get_ports ad7768_sync_out] ; ## FMC_LPC_CLK0_M2C_N set_property -dict {PACKAGE_PIN L21 IOSTANDARD LVCMOS25} [get_ports ad7768_sync_in] ; ## FMC_LPC_LA06_P - diff --git a/projects/ad7768evb/zed/system_constr.xdc b/projects/ad7768evb/zed/system_constr.xdc index a7833578e..70d905da9 100644 --- a/projects/ad7768evb/zed/system_constr.xdc +++ b/projects/ad7768evb/zed/system_constr.xdc @@ -1,27 +1,29 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### - -set_property -dict {PACKAGE_PIN L18 IOSTANDARD LVCMOS25} [get_ports clk_in] ; ## H04 FMC_LPC_CLK0_M2C_P IO_L12P_T1_MRCC_34 -set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS25} [get_ports ready_in] ; ## G06 FMC_LPC_LA00_CC_P IO_L13P_T2_MRCC_34 -set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS25} [get_ports data_in[0]] ; ## G07 FMC_LPC_LA00_CC_N IO_L13N_T2_MRCC_34 -set_property -dict {PACKAGE_PIN L22 IOSTANDARD LVCMOS25} [get_ports data_in[1]] ; ## C11 FMC_LPC_LA06_N IO_L10N_T1_34 -set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports data_in[2]] ; ## H07 FMC_LPC_LA02_P IO_L20P_T3_34 -set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS25} [get_ports data_in[3]] ; ## H08 FMC_LPC_LA02_N IO_L20N_T3_34 -set_property -dict {PACKAGE_PIN J21 IOSTANDARD LVCMOS25} [get_ports data_in[4]] ; ## G12 FMC_LPC_LA08_P IO_L8P_T1_34 -set_property -dict {PACKAGE_PIN J22 IOSTANDARD LVCMOS25} [get_ports data_in[5]] ; ## G13 FMC_LPC_LA08_N IO_L8N_T1_34 -set_property -dict {PACKAGE_PIN R20 IOSTANDARD LVCMOS25} [get_ports data_in[6]] ; ## D14 FMC_LPC_LA09_P IO_L17P_T2_34 -set_property -dict {PACKAGE_PIN R21 IOSTANDARD LVCMOS25} [get_ports data_in[7]] ; ## D15 FMC_LPC_LA09_N IO_L17N_T2_34 -set_property -dict {PACKAGE_PIN J18 IOSTANDARD LVCMOS25} [get_ports spi_csn] ; ## D11 FMC_LPC_LA05_P IO_L7P_T1_34 -set_property -dict {PACKAGE_PIN N19 IOSTANDARD LVCMOS25} [get_ports spi_clk] ; ## D08 FMC_LPC_LA01_CC_P IO_L14P_T2_SRCC_34 -set_property -dict {PACKAGE_PIN M22 IOSTANDARD LVCMOS25} [get_ports spi_mosi] ; ## H11 FMC_LPC_LA04_N IO_L15N_T2_DQS_34 -set_property -dict {PACKAGE_PIN N22 IOSTANDARD LVCMOS25} [get_ports spi_miso] ; ## G09 FMC_LPC_LA03_P IO_L16P_T2_34 -set_property -dict {PACKAGE_PIN T19 IOSTANDARD LVCMOS25} [get_ports gpio_0_mode_0] ; ## C15 FMC_LPC_LA10_N IO_L22N_T3_34 -set_property -dict {PACKAGE_PIN T16 IOSTANDARD LVCMOS25} [get_ports gpio_1_mode_1] ; ## H13 FMC_LPC_LA07_P IO_L21P_T3_DQS_34 -set_property -dict {PACKAGE_PIN T17 IOSTANDARD LVCMOS25} [get_ports gpio_2_mode_2] ; ## H14 FMC_LPC_LA07_N IO_L21N_T3_DQS_34 -set_property -dict {PACKAGE_PIN N17 IOSTANDARD LVCMOS25} [get_ports gpio_3_mode_3] ; ## H16 FMC_LPC_LA11_P IO_L5P_T0_34 -set_property -dict {PACKAGE_PIN R19 IOSTANDARD LVCMOS25} [get_ports gpio_4_filter] ; ## C14 FMC_LPC_LA10_P IO_L22P_T3_34 -set_property -dict {PACKAGE_PIN L21 IOSTANDARD LVCMOS25} [get_ports reset_n] ; ## C10 FMC_LPC_LA06_P IO_L10P_T1_34 -set_property -dict {PACKAGE_PIN P22 IOSTANDARD LVCMOS25} [get_ports start_n] ; ## G10 FMC_LPC_LA03_N IO_L16N_T2_34 -set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVCMOS25} [get_ports mclk] ; ## D09 FMC_LPC_LA01_CC_N IO_L14N_T2_SRCC_34 +set_property -dict {PACKAGE_PIN L18 IOSTANDARD LVCMOS25} [get_ports clk_in] ; ## H04 FMC_LPC_CLK0_M2C_P IO_L12P_T1_MRCC_34 +set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS25} [get_ports ready_in] ; ## G06 FMC_LPC_LA00_CC_P IO_L13P_T2_MRCC_34 +set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS25} [get_ports data_in[0]] ; ## G07 FMC_LPC_LA00_CC_N IO_L13N_T2_MRCC_34 +set_property -dict {PACKAGE_PIN L22 IOSTANDARD LVCMOS25} [get_ports data_in[1]] ; ## C11 FMC_LPC_LA06_N IO_L10N_T1_34 +set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports data_in[2]] ; ## H07 FMC_LPC_LA02_P IO_L20P_T3_34 +set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS25} [get_ports data_in[3]] ; ## H08 FMC_LPC_LA02_N IO_L20N_T3_34 +set_property -dict {PACKAGE_PIN J21 IOSTANDARD LVCMOS25} [get_ports data_in[4]] ; ## G12 FMC_LPC_LA08_P IO_L8P_T1_34 +set_property -dict {PACKAGE_PIN J22 IOSTANDARD LVCMOS25} [get_ports data_in[5]] ; ## G13 FMC_LPC_LA08_N IO_L8N_T1_34 +set_property -dict {PACKAGE_PIN R20 IOSTANDARD LVCMOS25} [get_ports data_in[6]] ; ## D14 FMC_LPC_LA09_P IO_L17P_T2_34 +set_property -dict {PACKAGE_PIN R21 IOSTANDARD LVCMOS25} [get_ports data_in[7]] ; ## D15 FMC_LPC_LA09_N IO_L17N_T2_34 +set_property -dict {PACKAGE_PIN J18 IOSTANDARD LVCMOS25} [get_ports spi_csn] ; ## D11 FMC_LPC_LA05_P IO_L7P_T1_34 +set_property -dict {PACKAGE_PIN N19 IOSTANDARD LVCMOS25} [get_ports spi_clk] ; ## D08 FMC_LPC_LA01_CC_P IO_L14P_T2_SRCC_34 +set_property -dict {PACKAGE_PIN M22 IOSTANDARD LVCMOS25} [get_ports spi_mosi] ; ## H11 FMC_LPC_LA04_N IO_L15N_T2_DQS_34 +set_property -dict {PACKAGE_PIN N22 IOSTANDARD LVCMOS25} [get_ports spi_miso] ; ## G09 FMC_LPC_LA03_P IO_L16P_T2_34 +set_property -dict {PACKAGE_PIN T19 IOSTANDARD LVCMOS25} [get_ports gpio_0_mode_0] ; ## C15 FMC_LPC_LA10_N IO_L22N_T3_34 +set_property -dict {PACKAGE_PIN T16 IOSTANDARD LVCMOS25} [get_ports gpio_1_mode_1] ; ## H13 FMC_LPC_LA07_P IO_L21P_T3_DQS_34 +set_property -dict {PACKAGE_PIN T17 IOSTANDARD LVCMOS25} [get_ports gpio_2_mode_2] ; ## H14 FMC_LPC_LA07_N IO_L21N_T3_DQS_34 +set_property -dict {PACKAGE_PIN N17 IOSTANDARD LVCMOS25} [get_ports gpio_3_mode_3] ; ## H16 FMC_LPC_LA11_P IO_L5P_T0_34 +set_property -dict {PACKAGE_PIN R19 IOSTANDARD LVCMOS25} [get_ports gpio_4_filter] ; ## C14 FMC_LPC_LA10_P IO_L22P_T3_34 +set_property -dict {PACKAGE_PIN L21 IOSTANDARD LVCMOS25} [get_ports reset_n] ; ## C10 FMC_LPC_LA06_P IO_L10P_T1_34 +set_property -dict {PACKAGE_PIN P22 IOSTANDARD LVCMOS25} [get_ports start_n] ; ## G10 FMC_LPC_LA03_N IO_L16N_T2_34 +set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVCMOS25} [get_ports mclk] ; ## D09 FMC_LPC_LA01_CC_N IO_L14N_T2_SRCC_34 create_clock -name adc_clk -period 20 [get_ports clk_in] - diff --git a/projects/ad777x_ardz/zed/system_constr.xdc b/projects/ad777x_ardz/zed/system_constr.xdc index db244c48b..e9fecf57d 100644 --- a/projects/ad777x_ardz/zed/system_constr.xdc +++ b/projects/ad777x_ardz/zed/system_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property -dict {PACKAGE_PIN AB9 IOSTANDARD LVCMOS33} [get_ports adc_clk_in] ; #DCLK P24_P9 JA1_9 set_property -dict {PACKAGE_PIN AB10 IOSTANDARD LVCMOS33} [get_ports adc_ready_in] ; #DRDY_N P24_P8 JA1_8 set_property -dict {PACKAGE_PIN AA8 IOSTANDARD LVCMOS33} [get_ports adc_data_in[0]] ; #DOUT0 P24_P10 JA1_10 diff --git a/projects/ad9081_fmca_ebz/vck190/system_constr.xdc b/projects/ad9081_fmca_ebz/vck190/system_constr.xdc index 5f48c5855..b44f39555 100644 --- a/projects/ad9081_fmca_ebz/vck190/system_constr.xdc +++ b/projects/ad9081_fmca_ebz/vck190/system_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # ## mxfe # diff --git a/projects/ad9081_fmca_ebz/vck190/timing_constr.xdc b/projects/ad9081_fmca_ebz/vck190/timing_constr.xdc index e5c328c0d..36882858d 100644 --- a/projects/ad9081_fmca_ebz/vck190/timing_constr.xdc +++ b/projects/ad9081_fmca_ebz/vck190/timing_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # Primary clock definitions create_clock -name refclk -period 2.667 [get_ports fpga_refclk_in_p] diff --git a/projects/ad9081_fmca_ebz/vcu118/system_constr.xdc b/projects/ad9081_fmca_ebz/vcu118/system_constr.xdc index 977540b36..62c9db14c 100644 --- a/projects/ad9081_fmca_ebz/vcu118/system_constr.xdc +++ b/projects/ad9081_fmca_ebz/vcu118/system_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # ## mxfe # diff --git a/projects/ad9081_fmca_ebz/vcu118/timing_constr.xdc b/projects/ad9081_fmca_ebz/vcu118/timing_constr.xdc index a8efd11d4..6b8fa73d3 100644 --- a/projects/ad9081_fmca_ebz/vcu118/timing_constr.xdc +++ b/projects/ad9081_fmca_ebz/vcu118/timing_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # Primary clock definitions diff --git a/projects/ad9081_fmca_ebz/vcu128/system_constr.xdc b/projects/ad9081_fmca_ebz/vcu128/system_constr.xdc index 8a52236a4..a35a90cf2 100644 --- a/projects/ad9081_fmca_ebz/vcu128/system_constr.xdc +++ b/projects/ad9081_fmca_ebz/vcu128/system_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # ## mxfe # diff --git a/projects/ad9081_fmca_ebz/vcu128/timing_constr.xdc b/projects/ad9081_fmca_ebz/vcu128/timing_constr.xdc index 5d912fdf9..7554f7375 100644 --- a/projects/ad9081_fmca_ebz/vcu128/timing_constr.xdc +++ b/projects/ad9081_fmca_ebz/vcu128/timing_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # Primary clock definitions diff --git a/projects/ad9081_fmca_ebz/zc706/system_constr.xdc b/projects/ad9081_fmca_ebz/zc706/system_constr.xdc index 96c069443..eff92505d 100644 --- a/projects/ad9081_fmca_ebz/zc706/system_constr.xdc +++ b/projects/ad9081_fmca_ebz/zc706/system_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # ## mxfe # diff --git a/projects/ad9081_fmca_ebz/zc706/timing_constr.xdc b/projects/ad9081_fmca_ebz/zc706/timing_constr.xdc index 39287b2c0..0430ac753 100644 --- a/projects/ad9081_fmca_ebz/zc706/timing_constr.xdc +++ b/projects/ad9081_fmca_ebz/zc706/timing_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # Primary clock definitions create_clock -name refclk -period 4 [get_ports fpga_refclk_in_p] diff --git a/projects/ad9081_fmca_ebz/zcu102/system_constr.xdc b/projects/ad9081_fmca_ebz/zcu102/system_constr.xdc index 60084508c..798552905 100644 --- a/projects/ad9081_fmca_ebz/zcu102/system_constr.xdc +++ b/projects/ad9081_fmca_ebz/zcu102/system_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # ## mxfe # diff --git a/projects/ad9081_fmca_ebz/zcu102/timing_constr.xdc b/projects/ad9081_fmca_ebz/zcu102/timing_constr.xdc index b677f0d0d..0285b047d 100644 --- a/projects/ad9081_fmca_ebz/zcu102/timing_constr.xdc +++ b/projects/ad9081_fmca_ebz/zcu102/timing_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # Primary clock definitions create_clock -name refclk -period 1.29 [get_ports fpga_refclk_in_p] diff --git a/projects/ad9081_fmca_ebz_x_band/zcu102/xband_constr.xdc b/projects/ad9081_fmca_ebz_x_band/zcu102/xband_constr.xdc index b52788a46..e6426d06f 100644 --- a/projects/ad9081_fmca_ebz_x_band/zcu102/xband_constr.xdc +++ b/projects/ad9081_fmca_ebz_x_band/zcu102/xband_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property -dict {PACKAGE_PIN A20 IOSTANDARD LVCMOS33 } [get_ports pmod0_0_1_PA_ON ]; ## J55.1 set_property -dict {PACKAGE_PIN B20 IOSTANDARD LVCMOS33 } [get_ports pmod0_1_3_MOSI ]; ## J55.3 diff --git a/projects/ad9083_evb/zcu102/system_constr.xdc b/projects/ad9083_evb/zcu102/system_constr.xdc index 4999968d5..7e2b99c80 100644 --- a/projects/ad9083_evb/zcu102/system_constr.xdc +++ b/projects/ad9083_evb/zcu102/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9083 diff --git a/projects/ad9083_vna/zcu102/system_constr.xdc b/projects/ad9083_vna/zcu102/system_constr.xdc index 1645c2113..d7cb9301f 100644 --- a/projects/ad9083_vna/zcu102/system_constr.xdc +++ b/projects/ad9083_vna/zcu102/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9083 diff --git a/projects/ad9208_dual_ebz/vcu118/system_constr.xdc b/projects/ad9208_dual_ebz/vcu118/system_constr.xdc index 7a764b479..5c9773283 100644 --- a/projects/ad9208_dual_ebz/vcu118/system_constr.xdc +++ b/projects/ad9208_dual_ebz/vcu118/system_constr.xdc @@ -1,8 +1,10 @@ -# -## dual_ad9208 -# +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # +## dual_ad9208 ## FMCp_PORT FPGA_IO # set_property -dict {PACKAGE_PIN AK38} [get_ports rx_ref_clk_0_p] ; ## GBTCLK0_M2C_P MGTREFCLK0P_121 @@ -88,4 +90,3 @@ create_clock -name global_clk_0 -period 2.66 [get_ports glbl_clk_0_p] set_input_delay -clock [get_clocks global_clk_0] \ [expr [get_property PERIOD [get_clocks global_clk_0]] / 2] \ [get_ports {rx_sysref_*}] - diff --git a/projects/ad9209_fmca_ebz/vck190/system_constr.xdc b/projects/ad9209_fmca_ebz/vck190/system_constr.xdc index e5c57688e..cf365cdfb 100644 --- a/projects/ad9209_fmca_ebz/vck190/system_constr.xdc +++ b/projects/ad9209_fmca_ebz/vck190/system_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # ## mxfe # diff --git a/projects/ad9209_fmca_ebz/vck190/timing_constr.xdc b/projects/ad9209_fmca_ebz/vck190/timing_constr.xdc index 12f4f4339..1ed39924a 100644 --- a/projects/ad9209_fmca_ebz/vck190/timing_constr.xdc +++ b/projects/ad9209_fmca_ebz/vck190/timing_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # Primary clock definitions create_clock -name refclk -period 2.667 [get_ports fpga_refclk_in_p] diff --git a/projects/ad9265_fmc/zc706/system_constr.xdc b/projects/ad9265_fmc/zc706/system_constr.xdc index 8fc551451..88e6f4e8d 100644 --- a/projects/ad9265_fmc/zc706/system_constr.xdc +++ b/projects/ad9265_fmc/zc706/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9265 diff --git a/projects/ad9434_fmc/zc706/system_constr.xdc b/projects/ad9434_fmc/zc706/system_constr.xdc index c8b7fe26a..8257988f9 100644 --- a/projects/ad9434_fmc/zc706/system_constr.xdc +++ b/projects/ad9434_fmc/zc706/system_constr.xdc @@ -1,5 +1,9 @@ -# ad9434 +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ad9434 set_property -dict {PACKAGE_PIN AE13 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports adc_clk_p] ; ## G6 FMC_LPC_LA00_CC_P set_property -dict {PACKAGE_PIN AF13 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports adc_clk_n] ; ## G7 FMC_LPC_LA00_CC_N diff --git a/projects/ad9467_fmc/kc705/system_constr.xdc b/projects/ad9467_fmc/kc705/system_constr.xdc index dcdcd551a..40a5384ba 100644 --- a/projects/ad9467_fmc/kc705/system_constr.xdc +++ b/projects/ad9467_fmc/kc705/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9467 diff --git a/projects/ad9467_fmc/zed/system_constr.xdc b/projects/ad9467_fmc/zed/system_constr.xdc index 8b1d5c7d3..9ef925a51 100644 --- a/projects/ad9467_fmc/zed/system_constr.xdc +++ b/projects/ad9467_fmc/zed/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9467 diff --git a/projects/ad9656_fmc/zcu102/system_constr.xdc b/projects/ad9656_fmc/zcu102/system_constr.xdc index 52f379368..55a108e4a 100644 --- a/projects/ad9656_fmc/zcu102/system_constr.xdc +++ b/projects/ad9656_fmc/zcu102/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9656 diff --git a/projects/ad9695_fmc/zcu102/system_constr.xdc b/projects/ad9695_fmc/zcu102/system_constr.xdc index 801cdef32..724f934d0 100644 --- a/projects/ad9695_fmc/zcu102/system_constr.xdc +++ b/projects/ad9695_fmc/zcu102/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9695 diff --git a/projects/ad9739a_fmc/zc706/system_constr.xdc b/projects/ad9739a_fmc/zc706/system_constr.xdc index 1b0039a16..7a2c0c6ef 100644 --- a/projects/ad9739a_fmc/zc706/system_constr.xdc +++ b/projects/ad9739a_fmc/zc706/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9739a diff --git a/projects/ad9783_ebz/zcu102/system_constr.xdc b/projects/ad9783_ebz/zcu102/system_constr.xdc index b58bdffcc..6375009e2 100755 --- a/projects/ad9783_ebz/zcu102/system_constr.xdc +++ b/projects/ad9783_ebz/zcu102/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints # ad9783 diff --git a/projects/ad_fmclidar1_ebz/zc706/system_constr.xdc b/projects/ad_fmclidar1_ebz/zc706/system_constr.xdc index 0487bdb8b..5ecfb44a6 100644 --- a/projects/ad_fmclidar1_ebz/zc706/system_constr.xdc +++ b/projects/ad_fmclidar1_ebz/zc706/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ADC digital interface (JESD204B) diff --git a/projects/ad_fmclidar1_ebz/zcu102/system_constr.xdc b/projects/ad_fmclidar1_ebz/zcu102/system_constr.xdc index 288e5382c..a37c03304 100644 --- a/projects/ad_fmclidar1_ebz/zcu102/system_constr.xdc +++ b/projects/ad_fmclidar1_ebz/zcu102/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ADC digital interface (JESD204B) diff --git a/projects/ad_quadmxfe1_ebz/vcu118/system_constr.xdc b/projects/ad_quadmxfe1_ebz/vcu118/system_constr.xdc index 77c75dea8..f96ca0f99 100644 --- a/projects/ad_quadmxfe1_ebz/vcu118/system_constr.xdc +++ b/projects/ad_quadmxfe1_ebz/vcu118/system_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # ## quad mxfe # diff --git a/projects/ad_quadmxfe1_ebz/vcu118/timing_constr.xdc b/projects/ad_quadmxfe1_ebz/vcu118/timing_constr.xdc index 95a81db92..b5c8b7450 100644 --- a/projects/ad_quadmxfe1_ebz/vcu118/timing_constr.xdc +++ b/projects/ad_quadmxfe1_ebz/vcu118/timing_constr.xdc @@ -1,5 +1,9 @@ -# Primary clock definitions +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# Primary clock definitions # These two reference clocks are connect to the same source on the PCB create_clock -name refclk -period 4.00 [get_ports fpga_clk_m2c_p[0]] create_clock -name refclk_replica -period 4.00 [get_ports fpga_clk_m2c_0_replica_n] diff --git a/projects/adaq7980_sdz/zed/system_constr.xdc b/projects/adaq7980_sdz/zed/system_constr.xdc index ed818d5e6..0244ffac9 100644 --- a/projects/adaq7980_sdz/zed/system_constr.xdc +++ b/projects/adaq7980_sdz/zed/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # SPI interface @@ -20,4 +24,3 @@ set_property -dict {PACKAGE_PIN D21 IOSTANDARD LVCMOS25} [get_ports adaq7980_gp set_property -dict {PACKAGE_PIN A16 IOSTANDARD LVCMOS25} [get_ports adaq7980_ref_pd] ; ## FMC_LPC_LA28_P set_property -dict {PACKAGE_PIN C17 IOSTANDARD LVCMOS25} [get_ports adaq7980_rbuf_pd] ; ## FMC_LPC_LA29_P - diff --git a/projects/adaq8092_fmc/zed/system_constr.xdc b/projects/adaq8092_fmc/zed/system_constr.xdc index 2eabca57a..c9776f6a3 100644 --- a/projects/adaq8092_fmc/zed/system_constr.xdc +++ b/projects/adaq8092_fmc/zed/system_constr.xdc @@ -1,5 +1,9 @@ -# adaq8092 +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# adaq8092 set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports adc_clk_in_p] ; #G06 FMC_LPC_LA00_P set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports adc_clk_in_n] ; #G07 FMC_LPC_LA00_N set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports adc_data_in1_p[0]] ; #H07 FMC_LPC_LA02_P D1_0 diff --git a/projects/adrv9001/zc706/cmos_constr.xdc b/projects/adrv9001/zc706/cmos_constr.xdc index 3279cfb99..9ff6697b0 100644 --- a/projects/adrv9001/zc706/cmos_constr.xdc +++ b/projects/adrv9001/zc706/cmos_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property -dict {PACKAGE_PIN AF13 IOSTANDARD LVCMOS18} [get_ports rx1_dclk_in_n] ;## G07 FMC_LPC_LA00_CC_N set_property -dict {PACKAGE_PIN AE13 IOSTANDARD LVCMOS18} [get_ports rx1_dclk_in_p] ;## G06 FMC_LPC_LA00_CC_P set_property -dict {PACKAGE_PIN AH12 IOSTANDARD LVCMOS18} [get_ports rx1_idata_in_n] ;## G10 FMC_LPC_LA03_N diff --git a/projects/adrv9001/zc706/system_constr.xdc b/projects/adrv9001/zc706/system_constr.xdc index b868a37dc..8ea9f2e83 100644 --- a/projects/adrv9001/zc706/system_constr.xdc +++ b/projects/adrv9001/zc706/system_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # # !!! WARNING !!! # diff --git a/projects/adrv9001/zcu102/cmos_constr.xdc b/projects/adrv9001/zcu102/cmos_constr.xdc index ede4d0140..741fdc5c9 100644 --- a/projects/adrv9001/zcu102/cmos_constr.xdc +++ b/projects/adrv9001/zcu102/cmos_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property -dict {PACKAGE_PIN Y3 IOSTANDARD LVCMOS18 } [get_ports rx1_dclk_in_n] ;## FMC_HPC0_LA00_CC_N IO_L13N_T2L_N1_GC_QBC_66 set_property -dict {PACKAGE_PIN Y4 IOSTANDARD LVCMOS18 } [get_ports rx1_dclk_in_p] ;## FMC_HPC0_LA00_CC_P IO_L13P_T2L_N0_GC_QBC_66 set_property -dict {PACKAGE_PIN Y1 IOSTANDARD LVCMOS18 } [get_ports rx1_idata_in_n] ;## FMC_HPC0_LA03_N IO_L22N_T3U_N7_DBC_AD0N_66 diff --git a/projects/adrv9001/zcu102/lvds_constr.xdc b/projects/adrv9001/zcu102/lvds_constr.xdc index 91d2b546e..1a133818c 100644 --- a/projects/adrv9001/zcu102/lvds_constr.xdc +++ b/projects/adrv9001/zcu102/lvds_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property -dict {PACKAGE_PIN Y3 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports rx1_dclk_in_n] ;## FMC_HPC0_LA00_CC_N IO_L13N_T2L_N1_GC_QBC_66 set_property -dict {PACKAGE_PIN Y4 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports rx1_dclk_in_p] ;## FMC_HPC0_LA00_CC_P IO_L13P_T2L_N0_GC_QBC_66 set_property -dict {PACKAGE_PIN Y1 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports rx1_idata_in_n] ;## FMC_HPC0_LA03_N IO_L22N_T3U_N7_DBC_AD0N_66 diff --git a/projects/adrv9001/zcu102/system_constr.xdc b/projects/adrv9001/zcu102/system_constr.xdc index 9f6458de2..d02cea363 100644 --- a/projects/adrv9001/zcu102/system_constr.xdc +++ b/projects/adrv9001/zcu102/system_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property -dict {PACKAGE_PIN AA7 IOSTANDARD LVCMOS18} [get_ports dev_clk_in] ; #FMC_HPC0_CLK0_M2C_P IO_L12P_T1U_N10_GC_66 set_property -dict {PACKAGE_PIN AC6 IOSTANDARD LVDS} [get_ports dev_mcs_fpga_out_n] ; #FMC_HPC0_LA14_N IO_L7N_T1L_N1_QBC_AD13N_66 set_property -dict {PACKAGE_PIN AC7 IOSTANDARD LVDS} [get_ports dev_mcs_fpga_out_p] ; #FMC_HPC0_LA14_P IO_L7P_T1L_N0_QBC_AD13P_66 diff --git a/projects/adrv9001/zed/cmos_constr.xdc b/projects/adrv9001/zed/cmos_constr.xdc index 85bd83873..218415c9d 100644 --- a/projects/adrv9001/zed/cmos_constr.xdc +++ b/projects/adrv9001/zed/cmos_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property -dict {PACKAGE_PIN M20 IOSTANDARD LVCMOS18 } [get_ports rx1_dclk_in_n] ;## G07 FMC_HPC0_LA00_CC_N IO_L13N_T2_MRCC_34 set_property -dict {PACKAGE_PIN M19 IOSTANDARD LVCMOS18 } [get_ports rx1_dclk_in_p] ;## G06 FMC_HPC0_LA00_CC_P IO_L13P_T2_MRCC_34 set_property -dict {PACKAGE_PIN P22 IOSTANDARD LVCMOS18 } [get_ports rx1_idata_in_n] ;## G10 FMC_HPC0_LA03_N IO_L16N_T2_34 diff --git a/projects/adrv9001/zed/system_constr.xdc b/projects/adrv9001/zed/system_constr.xdc index f1b1407d4..937be2984 100644 --- a/projects/adrv9001/zed/system_constr.xdc +++ b/projects/adrv9001/zed/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints # adrv9001 diff --git a/projects/adrv9009/zc706/system_constr.xdc b/projects/adrv9009/zc706/system_constr.xdc index 804f18613..9b322c751 100644 --- a/projects/adrv9009/zc706/system_constr.xdc +++ b/projects/adrv9009/zc706/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # adrv9009 diff --git a/projects/adrv9009/zcu102/system_constr.xdc b/projects/adrv9009/zcu102/system_constr.xdc index 8fa654837..276029967 100644 --- a/projects/adrv9009/zcu102/system_constr.xdc +++ b/projects/adrv9009/zcu102/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # adrv9009 diff --git a/projects/adrv9009zu11eg/adrv2crr_fmcomms8/fmcomms8_constr.xdc b/projects/adrv9009zu11eg/adrv2crr_fmcomms8/fmcomms8_constr.xdc index 95ba4e87c..24834c16a 100644 --- a/projects/adrv9009zu11eg/adrv2crr_fmcomms8/fmcomms8_constr.xdc +++ b/projects/adrv9009zu11eg/adrv2crr_fmcomms8/fmcomms8_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property PACKAGE_PIN V10 [get_ports ref_clk_c_p]; set_property PACKAGE_PIN V9 [get_ports ref_clk_c_n]; diff --git a/projects/adrv9009zu11eg/adrv2crr_fmcxmwbr1/system_constr.xdc b/projects/adrv9009zu11eg/adrv2crr_fmcxmwbr1/system_constr.xdc index 6bd272007..2ed78ba43 100755 --- a/projects/adrv9009zu11eg/adrv2crr_fmcxmwbr1/system_constr.xdc +++ b/projects/adrv9009zu11eg/adrv2crr_fmcxmwbr1/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # gpios diff --git a/projects/adrv9009zu11eg/adrv2crr_xmicrowave/system_constr.xdc b/projects/adrv9009zu11eg/adrv2crr_xmicrowave/system_constr.xdc index 6bd272007..f95e0bfbb 100755 --- a/projects/adrv9009zu11eg/adrv2crr_xmicrowave/system_constr.xdc +++ b/projects/adrv9009zu11eg/adrv2crr_xmicrowave/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # gpios diff --git a/projects/adrv9009zu11eg/common/adrv2crr_fmc_constr.xdc b/projects/adrv9009zu11eg/common/adrv2crr_fmc_constr.xdc index a38d94da3..8529c7f3d 100644 --- a/projects/adrv9009zu11eg/common/adrv2crr_fmc_constr.xdc +++ b/projects/adrv9009zu11eg/common/adrv2crr_fmc_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property -dict {PACKAGE_PIN AT13 IOSTANDARD LVCMOS18} [get_ports fan_tach] set_property -dict {PACKAGE_PIN AR13 IOSTANDARD LVCMOS18} [get_ports fan_pwm] diff --git a/projects/adrv9009zu11eg/common/adrv9009zu11eg_constr.xdc b/projects/adrv9009zu11eg/common/adrv9009zu11eg_constr.xdc index cc800de01..7f066a9ae 100644 --- a/projects/adrv9009zu11eg/common/adrv9009zu11eg_constr.xdc +++ b/projects/adrv9009zu11eg/common/adrv9009zu11eg_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property PACKAGE_PIN G24 [get_ports {ddr4_rtl_1_adr[0]}] set_property PACKAGE_PIN G25 [get_ports {ddr4_rtl_1_adr[1]}] diff --git a/projects/adrv9361z7035/common/adrv9361z7035_constr.xdc b/projects/adrv9361z7035/common/adrv9361z7035_constr.xdc index c452157fe..37ee8acd8 100644 --- a/projects/adrv9361z7035/common/adrv9361z7035_constr.xdc +++ b/projects/adrv9361z7035/common/adrv9361z7035_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints (pzsdr2.e) # ad9361 diff --git a/projects/adrv9361z7035/common/adrv9361z7035_constr_cmos.xdc b/projects/adrv9361z7035/common/adrv9361z7035_constr_cmos.xdc index dc8a71457..8a59d1f6d 100644 --- a/projects/adrv9361z7035/common/adrv9361z7035_constr_cmos.xdc +++ b/projects/adrv9361z7035/common/adrv9361z7035_constr_cmos.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints (pzsdr2.e) # ad9361 (SWAP == 0x1) diff --git a/projects/adrv9361z7035/common/adrv9361z7035_constr_lvds.xdc b/projects/adrv9361z7035/common/adrv9361z7035_constr_lvds.xdc index b52d45d08..01591425f 100644 --- a/projects/adrv9361z7035/common/adrv9361z7035_constr_lvds.xdc +++ b/projects/adrv9361z7035/common/adrv9361z7035_constr_lvds.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints (pzsdr2.e) # ad9361 diff --git a/projects/adrv9361z7035/common/ccbob_constr.xdc b/projects/adrv9361z7035/common/ccbob_constr.xdc index 6751cc417..26274a78a 100644 --- a/projects/adrv9361z7035/common/ccbob_constr.xdc +++ b/projects/adrv9361z7035/common/ccbob_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### ## constraints (ccbrk.c + ccbrk_lb.a) ## ad9361 clkout forward diff --git a/projects/adrv9361z7035/common/ccfmc_constr.xdc b/projects/adrv9361z7035/common/ccfmc_constr.xdc index c78211bdc..2a92a0571 100644 --- a/projects/adrv9361z7035/common/ccfmc_constr.xdc +++ b/projects/adrv9361z7035/common/ccfmc_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # rf-board diff --git a/projects/adrv9361z7035/common/ccpackrf_constr.xdc b/projects/adrv9361z7035/common/ccpackrf_constr.xdc index 562fb08e3..16f87a53b 100644 --- a/projects/adrv9361z7035/common/ccpackrf_constr.xdc +++ b/projects/adrv9361z7035/common/ccpackrf_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### ## constraints ## rf-gpio diff --git a/projects/adrv9364z7020/common/adrv9364z7020_constr.xdc b/projects/adrv9364z7020/common/adrv9364z7020_constr.xdc index 05191a66b..3aef052f0 100644 --- a/projects/adrv9364z7020/common/adrv9364z7020_constr.xdc +++ b/projects/adrv9364z7020/common/adrv9364z7020_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints (pzsdr1.b) # ad9361 diff --git a/projects/adrv9364z7020/common/adrv9364z7020_constr_cmos.xdc b/projects/adrv9364z7020/common/adrv9364z7020_constr_cmos.xdc index 5d64b812c..1bf99b268 100644 --- a/projects/adrv9364z7020/common/adrv9364z7020_constr_cmos.xdc +++ b/projects/adrv9364z7020/common/adrv9364z7020_constr_cmos.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints (pzsdr1.b) # ad9361 (SWAP == 0x0) diff --git a/projects/adrv9364z7020/common/adrv9364z7020_constr_lvds.xdc b/projects/adrv9364z7020/common/adrv9364z7020_constr_lvds.xdc index 5ffe49e4b..364013949 100644 --- a/projects/adrv9364z7020/common/adrv9364z7020_constr_lvds.xdc +++ b/projects/adrv9364z7020/common/adrv9364z7020_constr_lvds.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints (pzsdr1.b) # ad9361 diff --git a/projects/adrv9364z7020/common/ccbob_constr.xdc b/projects/adrv9364z7020/common/ccbob_constr.xdc index 950509512..5d9c7a418 100644 --- a/projects/adrv9364z7020/common/ccbob_constr.xdc +++ b/projects/adrv9364z7020/common/ccbob_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### ## constraints (ccbrk.c + ccbrk_lb.a) ## ad9361 clkout forward diff --git a/projects/adrv9364z7020/common/ccpackrf_constr.xdc b/projects/adrv9364z7020/common/ccpackrf_constr.xdc index 1272b5dee..647e669aa 100644 --- a/projects/adrv9364z7020/common/ccpackrf_constr.xdc +++ b/projects/adrv9364z7020/common/ccpackrf_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### ## constraints ## rf-gpio diff --git a/projects/adrv9371x/kcu105/system_constr.xdc b/projects/adrv9371x/kcu105/system_constr.xdc index 3ed2f6f03..fa5d8aee0 100644 --- a/projects/adrv9371x/kcu105/system_constr.xdc +++ b/projects/adrv9371x/kcu105/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9371 diff --git a/projects/adrv9371x/zc706/system_constr.xdc b/projects/adrv9371x/zc706/system_constr.xdc index 555420b46..4c0ba31a4 100644 --- a/projects/adrv9371x/zc706/system_constr.xdc +++ b/projects/adrv9371x/zc706/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9371 diff --git a/projects/adrv9371x/zcu102/system_constr.xdc b/projects/adrv9371x/zcu102/system_constr.xdc index 0ab62407f..4370099c5 100644 --- a/projects/adrv9371x/zcu102/system_constr.xdc +++ b/projects/adrv9371x/zcu102/system_constr.xdc @@ -1,5 +1,9 @@ -# FMC_HPC 0 +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# FMC_HPC 0 # ad9371 set_property -dict {PACKAGE_PIN Y2 IOSTANDARD LVDS} [get_ports rx_sync_p] ; ## G09 FMC_HPC0_LA03_P diff --git a/projects/adv7511/zc702/system_constr.xdc b/projects/adv7511/zc702/system_constr.xdc index 507786a17..128dc9567 100644 --- a/projects/adv7511/zc702/system_constr.xdc +++ b/projects/adv7511/zc702/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # gpio (pmods) diff --git a/projects/cn0363/zed/system_constr.xdc b/projects/cn0363/zed/system_constr.xdc index 78e372dd0..21fc6ed09 100644 --- a/projects/cn0363/zed/system_constr.xdc +++ b/projects/cn0363/zed/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # PMOD JA diff --git a/projects/cn0501/coraz7s/system_constr.xdc b/projects/cn0501/coraz7s/system_constr.xdc index bcc405ea1..c6c71c3df 100644 --- a/projects/cn0501/coraz7s/system_constr.xdc +++ b/projects/cn0501/coraz7s/system_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property -dict {PACKAGE_PIN N18 IOSTANDARD LVCMOS33} [get_ports clk_in ]; ## P12.10 IO8 set_property -dict {PACKAGE_PIN M18 IOSTANDARD LVCMOS33} [get_ports ready_in ]; ## P12.9 IO9 diff --git a/projects/cn0540/coraz7s/system_constr.xdc b/projects/cn0540/coraz7s/system_constr.xdc index 2be28a413..55b87a899 100755 --- a/projects/cn0540/coraz7s/system_constr.xdc +++ b/projects/cn0540/coraz7s/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # SPI interface diff --git a/projects/cn0561/coraz7s/system_constr.xdc b/projects/cn0561/coraz7s/system_constr.xdc index 27bab2e68..c9c67d95c 100644 --- a/projects/cn0561/coraz7s/system_constr.xdc +++ b/projects/cn0561/coraz7s/system_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property -dict {PACKAGE_PIN P16 IOSTANDARD LVCMOS33} [get_ports iic_scl] set_property -dict {PACKAGE_PIN P15 IOSTANDARD LVCMOS33} [get_ports iic_sda] diff --git a/projects/cn0561/zed/system_constr.xdc b/projects/cn0561/zed/system_constr.xdc index 14a36fe6c..e8ee2b3c9 100755 --- a/projects/cn0561/zed/system_constr.xdc +++ b/projects/cn0561/zed/system_constr.xdc @@ -1,5 +1,9 @@ -# cn0561 SPI configuration interface +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# cn0561 SPI configuration interface set_property -dict {PACKAGE_PIN N22 IOSTANDARD LVCMOS25} [get_ports cn0561_spi_sdi] ; ## FMC_LPC_LA03_P set_property -dict {PACKAGE_PIN M22 IOSTANDARD LVCMOS25} [get_ports cn0561_spi_sdo] ; ## FMC_LPC_LA04_N set_property -dict {PACKAGE_PIN N19 IOSTANDARD LVCMOS25} [get_ports cn0561_spi_sclk] ; ## FMC_LPC_LA01_CC_P diff --git a/projects/cn0577/zed/system_constr.xdc b/projects/cn0577/zed/system_constr.xdc index 6cbb15e7a..54dd7634c 100644 --- a/projects/cn0577/zed/system_constr.xdc +++ b/projects/cn0577/zed/system_constr.xdc @@ -1,5 +1,9 @@ -# cn0577 +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# cn0577 # clocks set_property -dict {PACKAGE_PIN D18 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ports ref_clk_p] ; ## G2 FMC_CLK1_M2C_P IO_L12P_T1_MRCC_35 diff --git a/projects/cn0579/coraz7s/system_constr.xdc b/projects/cn0579/coraz7s/system_constr.xdc index 1dc82644a..6b70368d5 100644 --- a/projects/cn0579/coraz7s/system_constr.xdc +++ b/projects/cn0579/coraz7s/system_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + set_property -dict {PACKAGE_PIN N18 IOSTANDARD LVCMOS33} [get_ports clk_in ]; ## P12.10 IO8 set_property -dict {PACKAGE_PIN M18 IOSTANDARD LVCMOS33} [get_ports ready_in ]; ## P12.9 IO9 diff --git a/projects/common/ac701/ac701_system_constr.xdc b/projects/common/ac701/ac701_system_constr.xdc index f6e8ec4ff..248e1873c 100644 --- a/projects/common/ac701/ac701_system_constr.xdc +++ b/projects/common/ac701/ac701_system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints diff --git a/projects/common/coraz7s/coraz7s_system_constr.xdc b/projects/common/coraz7s/coraz7s_system_constr.xdc index 881e46cee..544433fc0 100644 --- a/projects/common/coraz7s/coraz7s_system_constr.xdc +++ b/projects/common/coraz7s/coraz7s_system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints diff --git a/projects/common/kc705/kc705_system_constr.xdc b/projects/common/kc705/kc705_system_constr.xdc index 0716bf2b7..f840b7cc1 100644 --- a/projects/common/kc705/kc705_system_constr.xdc +++ b/projects/common/kc705/kc705_system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints diff --git a/projects/common/kcu105/kcu105_system_constr.xdc b/projects/common/kcu105/kcu105_system_constr.xdc index 7064f227f..245cb6ddf 100644 --- a/projects/common/kcu105/kcu105_system_constr.xdc +++ b/projects/common/kcu105/kcu105_system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints diff --git a/projects/common/kcu105/kcu105_system_lutram_constr.xdc b/projects/common/kcu105/kcu105_system_lutram_constr.xdc index 7651b67bc..252970391 100644 --- a/projects/common/kcu105/kcu105_system_lutram_constr.xdc +++ b/projects/common/kcu105/kcu105_system_lutram_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # LUTRAM Constraint Information # diff --git a/projects/common/kv260/kv260_system_constr.xdc b/projects/common/kv260/kv260_system_constr.xdc index a8172ee7b..2caebe3ec 100644 --- a/projects/common/kv260/kv260_system_constr.xdc +++ b/projects/common/kv260/kv260_system_constr.xdc @@ -1,5 +1,9 @@ -# constraints +############################################################################### +## Copyright (C) 2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# constraints set_property -dict {PACKAGE_PIN A12 IOSTANDARD LVCMOS33 SLEW SLOW DRIVE 4} [get_ports fan_en_b]; # Bank 45 VCCO - som240_1_b13 - IO_L11P_AD9P_45 set_property BITSTREAM.CONFIG.OVERTEMPSHUTDOWN ENABLE [current_design] diff --git a/projects/common/microzed/microzed_system_constr.xdc b/projects/common/microzed/microzed_system_constr.xdc index 1f118304a..f260781c1 100644 --- a/projects/common/microzed/microzed_system_constr.xdc +++ b/projects/common/microzed/microzed_system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints diff --git a/projects/common/vc707/vc707_system_constr.xdc b/projects/common/vc707/vc707_system_constr.xdc index 52c819a01..6dec2947f 100644 --- a/projects/common/vc707/vc707_system_constr.xdc +++ b/projects/common/vc707/vc707_system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints diff --git a/projects/common/vc709/vc709_system_constr.xdc b/projects/common/vc709/vc709_system_constr.xdc index b99afa7cd..b1920d034 100644 --- a/projects/common/vc709/vc709_system_constr.xdc +++ b/projects/common/vc709/vc709_system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints diff --git a/projects/common/vck190/vck190_system_constr.xdc b/projects/common/vck190/vck190_system_constr.xdc index 25d2c316a..d0bfefbf8 100644 --- a/projects/common/vck190/vck190_system_constr.xdc +++ b/projects/common/vck190/vck190_system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### create_clock -period 5.000 -name sys_clk_p [get_ports sys_clk_p] diff --git a/projects/common/vcu118/vcu118_system_constr.xdc b/projects/common/vcu118/vcu118_system_constr.xdc index 79e5fde12..8ea5d0ac9 100644 --- a/projects/common/vcu118/vcu118_system_constr.xdc +++ b/projects/common/vcu118/vcu118_system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints diff --git a/projects/common/vcu128/vcu128_system_constr.xdc b/projects/common/vcu128/vcu128_system_constr.xdc index 78cd85904..4dc2fec9b 100644 --- a/projects/common/vcu128/vcu128_system_constr.xdc +++ b/projects/common/vcu128/vcu128_system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints diff --git a/projects/common/vmk180/vmk180_system_constr.xdc b/projects/common/vmk180/vmk180_system_constr.xdc index 871065301..4bcd9ec2b 100644 --- a/projects/common/vmk180/vmk180_system_constr.xdc +++ b/projects/common/vmk180/vmk180_system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### create_clock -period 5.000 -name sys_clk_p [get_ports sys_clk_p] diff --git a/projects/common/vmk180_es1/vmk180_es1_system_constr.xdc b/projects/common/vmk180_es1/vmk180_es1_system_constr.xdc index 871065301..4bcd9ec2b 100644 --- a/projects/common/vmk180_es1/vmk180_es1_system_constr.xdc +++ b/projects/common/vmk180_es1/vmk180_es1_system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### create_clock -period 5.000 -name sys_clk_p [get_ports sys_clk_p] diff --git a/projects/common/xilinx/adi_fir_filter_constr.xdc b/projects/common/xilinx/adi_fir_filter_constr.xdc index 3cbd84d44..012c0ca60 100644 --- a/projects/common/xilinx/adi_fir_filter_constr.xdc +++ b/projects/common/xilinx/adi_fir_filter_constr.xdc @@ -1,5 +1,9 @@ -# constraints +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# constraints set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ */cdc_sync_active/inst/cdc_sync_stage1_reg*}] set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ */cdc_sync_active/inst/cdc_sync_stage2_reg*}] diff --git a/projects/common/zc702/zc702_system_constr.xdc b/projects/common/zc702/zc702_system_constr.xdc index b5e19b911..1c6a11419 100644 --- a/projects/common/zc702/zc702_system_constr.xdc +++ b/projects/common/zc702/zc702_system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints # hdmi diff --git a/projects/common/zc706/zc706_plddr3_constr.xdc b/projects/common/zc706/zc706_plddr3_constr.xdc index fe99281a4..d0de562df 100644 --- a/projects/common/zc706/zc706_plddr3_constr.xdc +++ b/projects/common/zc706/zc706_plddr3_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # clocks diff --git a/projects/common/zc706/zc706_system_constr.xdc b/projects/common/zc706/zc706_system_constr.xdc index 09af0ed23..08b05b6b0 100644 --- a/projects/common/zc706/zc706_system_constr.xdc +++ b/projects/common/zc706/zc706_system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints diff --git a/projects/common/zcu102/zcu102_system_constr.xdc b/projects/common/zcu102/zcu102_system_constr.xdc index c916723fc..e81ece468 100644 --- a/projects/common/zcu102/zcu102_system_constr.xdc +++ b/projects/common/zcu102/zcu102_system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints # gpio (switches, leds and such) diff --git a/projects/common/zed/zed_system_constr.xdc b/projects/common/zed/zed_system_constr.xdc index 72bfbc2bd..36a66ee36 100644 --- a/projects/common/zed/zed_system_constr.xdc +++ b/projects/common/zed/zed_system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints # hdmi diff --git a/projects/dac_fmc_ebz/vcu118/system_constr.xdc b/projects/dac_fmc_ebz/vcu118/system_constr.xdc index 20fce94e4..3d862e4fa 100755 --- a/projects/dac_fmc_ebz/vcu118/system_constr.xdc +++ b/projects/dac_fmc_ebz/vcu118/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # DAC FMC signals diff --git a/projects/dac_fmc_ebz/zc706/system_constr.xdc b/projects/dac_fmc_ebz/zc706/system_constr.xdc index bb505c61b..476e4a04b 100644 --- a/projects/dac_fmc_ebz/zc706/system_constr.xdc +++ b/projects/dac_fmc_ebz/zc706/system_constr.xdc @@ -1,37 +1,7 @@ -# *************************************************************************** -# *************************************************************************** -# Copyright 2018 (c) Analog Devices, Inc. All rights reserved. -# -# In this HDL repository, there are many different and unique modules, consisting -# of various HDL (Verilog or VHDL) components. The individual modules are -# developed independently, and may be accompanied by separate and unique license -# terms. -# -# The user should read each of these license terms, and understand the -# freedoms and responsibilities that he or she has by using this source/core. -# -# This core is distributed in the hope that it will be useful, but WITHOUT ANY -# WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR -# A PARTICULAR PURPOSE. -# -# Redistribution and use of source or resulting binaries, with or without modification -# of this file, are permitted under one of the following two license terms: -# -# 1. The GNU General Public License version 2 as published by the -# Free Software Foundation, which can be found in the top level directory -# of this repository (LICENSE_GPL2), and also online at: -# -# -# OR -# -# 2. An ADI specific BSD license, which can be found in the top level directory -# of this repository (LICENSE_ADIBSD), and also on-line at: -# https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD -# This will allow to generate bit files and not release the source code, -# as long as it attaches to an ADI device. -# -# *************************************************************************** -# *************************************************************************** +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property -dict {PACKAGE_PIN AD10} [get_ports tx_ref_clk_p] ; ## D04 FMC_HPC_GBTCLK0_M2C_P MGTREFCLK0P_109_AD10 set_property -dict {PACKAGE_PIN AD9 } [get_ports tx_ref_clk_n] ; ## D05 FMC_HPC_GBTCLK0_M2C_N MGTREFCLK0N_109_AD9 @@ -102,4 +72,3 @@ create_clock -name tx_div_clk -period 3.879 [get_pins i_system_wrapper/system set_input_delay -clock [get_clocks tx_ref_clk] \ [expr [get_property PERIOD [get_clocks tx_ref_clk]] / 2] \ [get_ports {tx_sysref_*}] - diff --git a/projects/dac_fmc_ebz/zcu102/system_constr.xdc b/projects/dac_fmc_ebz/zcu102/system_constr.xdc index be8a22bdc..e71946431 100644 --- a/projects/dac_fmc_ebz/zcu102/system_constr.xdc +++ b/projects/dac_fmc_ebz/zcu102/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # DAC FMC signals diff --git a/projects/daq2/kc705/system_constr.xdc b/projects/daq2/kc705/system_constr.xdc index 841eaf030..267177313 100644 --- a/projects/daq2/kc705/system_constr.xdc +++ b/projects/daq2/kc705/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # daq2 diff --git a/projects/daq2/kcu105/system_constr.xdc b/projects/daq2/kcu105/system_constr.xdc index 79ce4a44e..13d42ffe7 100644 --- a/projects/daq2/kcu105/system_constr.xdc +++ b/projects/daq2/kcu105/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # daq2 diff --git a/projects/daq2/zc706/system_constr.xdc b/projects/daq2/zc706/system_constr.xdc index fd3ddf448..6674e429a 100644 --- a/projects/daq2/zc706/system_constr.xdc +++ b/projects/daq2/zc706/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # daq2 diff --git a/projects/daq2/zcu102/system_constr.xdc b/projects/daq2/zcu102/system_constr.xdc index 1e46c3c69..0e417ab39 100644 --- a/projects/daq2/zcu102/system_constr.xdc +++ b/projects/daq2/zcu102/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # daq2 diff --git a/projects/daq3/kcu105/system_constr.xdc b/projects/daq3/kcu105/system_constr.xdc index 2b26326b7..063e97b95 100644 --- a/projects/daq3/kcu105/system_constr.xdc +++ b/projects/daq3/kcu105/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # daq3 diff --git a/projects/daq3/vcu118/system_constr.xdc b/projects/daq3/vcu118/system_constr.xdc index 8b94597c6..19483fb82 100644 --- a/projects/daq3/vcu118/system_constr.xdc +++ b/projects/daq3/vcu118/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # daq3 diff --git a/projects/daq3/zc706/system_constr.xdc b/projects/daq3/zc706/system_constr.xdc index 69323f5c7..35bd5d31b 100644 --- a/projects/daq3/zc706/system_constr.xdc +++ b/projects/daq3/zc706/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property -dict {PACKAGE_PIN AA8 } [get_ports rx_ref_clk_p] ; ## B20 FMC_HPC_GBTCLK1_M2C_P set_property -dict {PACKAGE_PIN AA7 } [get_ports rx_ref_clk_n] ; ## B21 FMC_HPC_GBTCLK1_M2C_N diff --git a/projects/daq3/zcu102/system_constr.xdc b/projects/daq3/zcu102/system_constr.xdc index 9aeaffc42..3697af1bb 100644 --- a/projects/daq3/zcu102/system_constr.xdc +++ b/projects/daq3/zcu102/system_constr.xdc @@ -1,5 +1,9 @@ -# FMC_HPC 0 +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# FMC_HPC 0 # daq3 set_property -dict {PACKAGE_PIN AB4 IOSTANDARD LVDS} [get_ports rx_sync_p] ; ## D08 FMC_HPC0_LA01_CC_P diff --git a/projects/fmcadc2/vc707/system_constr.xdc b/projects/fmcadc2/vc707/system_constr.xdc index 260dda3d9..2dc6942ce 100644 --- a/projects/fmcadc2/vc707/system_constr.xdc +++ b/projects/fmcadc2/vc707/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9625 diff --git a/projects/fmcadc2/zc706/system_constr.xdc b/projects/fmcadc2/zc706/system_constr.xdc index 36c3ec8dd..09caeb14d 100644 --- a/projects/fmcadc2/zc706/system_constr.xdc +++ b/projects/fmcadc2/zc706/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9625 diff --git a/projects/fmcadc5/vc707/system_constr.xdc b/projects/fmcadc5/vc707/system_constr.xdc index 2a7a29e88..708f34305 100644 --- a/projects/fmcadc5/vc707/system_constr.xdc +++ b/projects/fmcadc5/vc707/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9625 diff --git a/projects/fmcjesdadc1/kc705/system_constr.xdc b/projects/fmcjesdadc1/kc705/system_constr.xdc index 19602e20c..c4683a4ba 100644 --- a/projects/fmcjesdadc1/kc705/system_constr.xdc +++ b/projects/fmcjesdadc1/kc705/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9250 diff --git a/projects/fmcjesdadc1/vc707/system_constr.xdc b/projects/fmcjesdadc1/vc707/system_constr.xdc index 6e8236936..5e4f15e77 100644 --- a/projects/fmcjesdadc1/vc707/system_constr.xdc +++ b/projects/fmcjesdadc1/vc707/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9250 diff --git a/projects/fmcjesdadc1/zc706/system_constr.xdc b/projects/fmcjesdadc1/zc706/system_constr.xdc index 8d67896df..8045c65ae 100644 --- a/projects/fmcjesdadc1/zc706/system_constr.xdc +++ b/projects/fmcjesdadc1/zc706/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9250 diff --git a/projects/fmcomms11/zc706/system_constr.xdc b/projects/fmcomms11/zc706/system_constr.xdc index 1c8903fa5..a71ab6568 100644 --- a/projects/fmcomms11/zc706/system_constr.xdc +++ b/projects/fmcomms11/zc706/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # fmcomms11 diff --git a/projects/fmcomms2/kc705/system_constr.xdc b/projects/fmcomms2/kc705/system_constr.xdc index d9346816b..7682515f0 100644 --- a/projects/fmcomms2/kc705/system_constr.xdc +++ b/projects/fmcomms2/kc705/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints # ad9361 diff --git a/projects/fmcomms2/kcu105/system_constr.xdc b/projects/fmcomms2/kcu105/system_constr.xdc index 4f92d1823..9fbfe2c1d 100644 --- a/projects/fmcomms2/kcu105/system_constr.xdc +++ b/projects/fmcomms2/kcu105/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints # ad9361 diff --git a/projects/fmcomms2/vc707/system_constr.xdc b/projects/fmcomms2/vc707/system_constr.xdc index f6280bd2b..6def4acbf 100644 --- a/projects/fmcomms2/vc707/system_constr.xdc +++ b/projects/fmcomms2/vc707/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints # ad9361 diff --git a/projects/fmcomms2/zc702/system_constr.xdc b/projects/fmcomms2/zc702/system_constr.xdc index 8b89f4953..fb42dccee 100644 --- a/projects/fmcomms2/zc702/system_constr.xdc +++ b/projects/fmcomms2/zc702/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints # ad9361 diff --git a/projects/fmcomms2/zc706/system_constr.xdc b/projects/fmcomms2/zc706/system_constr.xdc index 2509dc955..90ba982b5 100644 --- a/projects/fmcomms2/zc706/system_constr.xdc +++ b/projects/fmcomms2/zc706/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints # ad9361 diff --git a/projects/fmcomms2/zcu102/system_constr.xdc b/projects/fmcomms2/zcu102/system_constr.xdc index 0256676a4..bf3a92070 100644 --- a/projects/fmcomms2/zcu102/system_constr.xdc +++ b/projects/fmcomms2/zcu102/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints # ad9361 diff --git a/projects/fmcomms2/zed/system_constr.xdc b/projects/fmcomms2/zed/system_constr.xdc index c1a741f22..822223214 100644 --- a/projects/fmcomms2/zed/system_constr.xdc +++ b/projects/fmcomms2/zed/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints # ad9361 diff --git a/projects/fmcomms5/zc702/system_constr.xdc b/projects/fmcomms5/zc702/system_constr.xdc index 6019cd092..c34a0f336 100644 --- a/projects/fmcomms5/zc702/system_constr.xdc +++ b/projects/fmcomms5/zc702/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints diff --git a/projects/fmcomms5/zc706/system_constr.xdc b/projects/fmcomms5/zc706/system_constr.xdc index 75ed3b7f7..157fdb926 100644 --- a/projects/fmcomms5/zc706/system_constr.xdc +++ b/projects/fmcomms5/zc706/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints diff --git a/projects/fmcomms5/zcu102/system_constr.xdc b/projects/fmcomms5/zcu102/system_constr.xdc index dc25d75e6..beb7e235b 100644 --- a/projects/fmcomms5/zcu102/system_constr.xdc +++ b/projects/fmcomms5/zcu102/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # constraints diff --git a/projects/fmcomms8/zcu102/system_constr.xdc b/projects/fmcomms8/zcu102/system_constr.xdc index 1ac23db86..a92ce8582 100644 --- a/projects/fmcomms8/zcu102/system_constr.xdc +++ b/projects/fmcomms8/zcu102/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property PACKAGE_PIN G8 [get_ports ref_clk_c_p]; # D04 FMC_HPC0_GBTCLK0_M2C_C_P set_property PACKAGE_PIN G7 [get_ports ref_clk_c_n]; # D05 FMC_HPC0_GBTCLK0_M2C_C_N diff --git a/projects/imageon/zed/system_constr.xdc b/projects/imageon/zed/system_constr.xdc index f483e514e..ebf1120ca 100644 --- a/projects/imageon/zed/system_constr.xdc +++ b/projects/imageon/zed/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # fmc hdmi rx (adv7611) diff --git a/projects/m2k/standalone/system_constr.xdc b/projects/m2k/standalone/system_constr.xdc index 52f4e2b28..eb88b009e 100644 --- a/projects/m2k/standalone/system_constr.xdc +++ b/projects/m2k/standalone/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### set_property -dict {PACKAGE_PIN F14 IOSTANDARD LVCMOS33 PULLTYPE PULLUP} [get_ports iic_scl] set_property -dict {PACKAGE_PIN F13 IOSTANDARD LVCMOS33 PULLTYPE PULLUP} [get_ports iic_sda] diff --git a/projects/pluto/system_constr.xdc b/projects/pluto/system_constr.xdc index 67a9558cf..bb6e39854 100644 --- a/projects/pluto/system_constr.xdc +++ b/projects/pluto/system_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # constraints # ad9361 (SWAP == 0x1) diff --git a/projects/pulsar_adc_pmdz/coraz7s/system_constr.xdc b/projects/pulsar_adc_pmdz/coraz7s/system_constr.xdc index 39cdbd99d..9cd15b372 100644 --- a/projects/pulsar_adc_pmdz/coraz7s/system_constr.xdc +++ b/projects/pulsar_adc_pmdz/coraz7s/system_constr.xdc @@ -1,5 +1,9 @@ -# ad40xx_fmc SPI interface +############################################################################### +## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### +# ad40xx_fmc SPI interface set_property -dict {PACKAGE_PIN Y19 IOSTANDARD LVCMOS33 IOB TRUE} [get_ports pulsar_adc_spi_sdo] ; ## PMOD JA [2] set_property -dict {PACKAGE_PIN Y16 IOSTANDARD LVCMOS33 IOB TRUE} [get_ports pulsar_adc_spi_sdi] ; ## PMOD JA [1] set_property -dict {PACKAGE_PIN Y17 IOSTANDARD LVCMOS33 IOB TRUE} [get_ports pulsar_adc_spi_sclk] ; ## PMOD JA [3] diff --git a/projects/sidekiqz2/system_constr.xdc b/projects/sidekiqz2/system_constr.xdc index 35dd883c4..4fdeb0bcd 100755 --- a/projects/sidekiqz2/system_constr.xdc +++ b/projects/sidekiqz2/system_constr.xdc @@ -1,3 +1,8 @@ +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### + # constraints # ad9361 (SWAP == 0x1) diff --git a/projects/usrpe31x/system_constr.xdc b/projects/usrpe31x/system_constr.xdc index 5c79afb70..56840c066 100644 --- a/projects/usrpe31x/system_constr.xdc +++ b/projects/usrpe31x/system_constr.xdc @@ -1,3 +1,7 @@ +############################################################################### +## Copyright (C) 2018-2023 Analog Devices, Inc. All rights reserved. +### SPDX short identifier: ADIBSD +############################################################################### # ad9361 (SWAP == 0x1)