diff --git a/projects/daq2/a10gx/system_project.tcl b/projects/daq2/a10gx/system_project.tcl index 03ef843bd..54bf2b033 100644 --- a/projects/daq2/a10gx/system_project.tcl +++ b/projects/daq2/a10gx/system_project.tcl @@ -93,7 +93,4 @@ set_location_assignment PIN_AW11 -to spi_clk ; ## D12 FMCA_LA05_ set_location_assignment PIN_AW13 -to spi_sdio ; ## D14 FMCA_LA09_P set_location_assignment PIN_AN19 -to spi_dir ; ## G13 FMCA_LA08_N -# set optimization to get a better timing closure -set_global_assignment -name OPTIMIZATION_MODE "HIGH PERFORMANCE EFFORT" - execute_flow -compile