fmcjesdadc1/a5gt: pn-errors version

main
Rejeesh Kutty 2015-07-01 13:42:08 -04:00
parent 35aca98b5f
commit 18e8914087
3 changed files with 29 additions and 24 deletions

File diff suppressed because one or more lines are too long

View File

@ -11,3 +11,6 @@ set_clock_groups -exclusive \
-group [get_clocks {i_system_bd|sys_pll|altera_pll_i|general[1].gpll~PLL_OUTPUT_COUNTER|divclk}] \
-group [get_clocks {i_system_bd|sys_pll|altera_pll_i|general[2].gpll~PLL_OUTPUT_COUNTER|divclk}] \
set_false_path -from [get_registers *dev_sync_n*] -to [get_registers *rx_sync_m1*]
set_false_path -from [get_registers *rx_sysref*] -to [get_registers *sys_xcvr*sysref*]

View File

@ -8,6 +8,7 @@ source $ad_hdl_dir/projects/common/a5gt/a5gt_system_assign.tcl
set_global_assignment -name VERILOG_FILE $ad_hdl_dir/library/common/ad_iobuf.v
set_global_assignment -name VERILOG_FILE ../common/fmcjesdadc1_spi.v
set_global_assignment -name QSYS_FILE system_stap.qsys
# reference clock
@ -58,6 +59,7 @@ set_instance_assignment -name IO_STANDARD "2.5 V" -to spi_sdio
set_instance_assignment -name QII_AUTO_PACKED_REGISTERS OFF -to * -entity up_xfer_cntrl
set_instance_assignment -name QII_AUTO_PACKED_REGISTERS OFF -to * -entity up_xfer_status
set_instance_assignment -name QII_AUTO_PACKED_REGISTERS OFF -to * -entity top_level
execute_flow -compile