adi_project: Fix timing check

Fixed timing paths evaluation where there is no logic in PL.
main
Sergiu Arpadi 2019-04-23 16:45:25 +01:00 committed by sarpadi
parent 31e7c8e778
commit 17c20eeb7a
1 changed files with 12 additions and 7 deletions

View File

@ -212,16 +212,21 @@ proc adi_project_run {project_name} {
file mkdir $project_name.sdk
set timing_paths_list [get_property -quiet SLACK [get_timing_paths -quiet]]
if {[llength $timing_paths_list] == 0} {
puts "no timing paths found"
file copy -force $project_name.runs/impl_1/system_top.sysdef $project_name.sdk/system_top.hdf
} else {
if [expr [get_property SLACK [get_timing_paths]] < 0] {
file copy -force $project_name.runs/impl_1/system_top.sysdef $project_name.sdk/system_top_bad_timing.hdf
} else {
file copy -force $project_name.runs/impl_1/system_top.sysdef $project_name.sdk/system_top.hdf
}
if [expr [get_property SLACK [get_timing_paths]] < 0] {
return -code error [format "ERROR: Timing Constraints NOT met!"]
}
}
}
proc adi_project_synth {project_name prcfg_name hdl_files {xdc_files ""}} {