From 146f85c8fd5c45dc464e0215d56601c80a13512d Mon Sep 17 00:00:00 2001 From: AndreiGrozav Date: Fri, 8 Jun 2018 15:21:07 +0100 Subject: [PATCH] Fixed typo --- .../ad_ip_jesd204_tpl_adc_hw.tcl | 12 ++++++------ .../ad_ip_jesd204_tpl_dac_hw.tcl | 10 +++++----- 2 files changed, 11 insertions(+), 11 deletions(-) diff --git a/library/jesd204/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_hw.tcl b/library/jesd204/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_hw.tcl index 1fd23287d..0b2cb49c2 100644 --- a/library/jesd204/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_hw.tcl +++ b/library/jesd204/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_hw.tcl @@ -37,12 +37,12 @@ ad_ip_files ad_ip_jesd204_tpl_adc [list \ $ad_hdl_dir/library/common/up_adc_common.v \ $ad_hdl_dir/library/common/up_adc_channel.v \ $ad_hdl_dir/library/common/ad_xcvr_rx_if.v \ - $ad_hdl_dir/library/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_regmap.v \ - $ad_hdl_dir/library/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_pnmon.v \ - $ad_hdl_dir/library/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_channel.v \ - $ad_hdl_dir/library/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_core.v \ - $ad_hdl_dir/library/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_deframer.v \ - $ad_hdl_dir/library/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc.v \ + $ad_hdl_dir/library/jesd204/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_regmap.v \ + $ad_hdl_dir/library/jesd204/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_pnmon.v \ + $ad_hdl_dir/library/jesd204/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_channel.v \ + $ad_hdl_dir/library/jesd204/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_core.v \ + $ad_hdl_dir/library/jesd204/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc_deframer.v \ + $ad_hdl_dir/library/jesd204/ad_ip_jesd204_tpl_adc/ad_ip_jesd204_tpl_adc.v \ $ad_hdl_dir/library/altera/common/up_xfer_cntrl_constr.sdc \ $ad_hdl_dir/library/altera/common/up_xfer_status_constr.sdc \ $ad_hdl_dir/library/altera/common/up_clock_mon_constr.sdc \ diff --git a/library/jesd204/ad_ip_jesd204_tpl_dac/ad_ip_jesd204_tpl_dac_hw.tcl b/library/jesd204/ad_ip_jesd204_tpl_dac/ad_ip_jesd204_tpl_dac_hw.tcl index 1ce13c957..1e50f292f 100644 --- a/library/jesd204/ad_ip_jesd204_tpl_dac/ad_ip_jesd204_tpl_dac_hw.tcl +++ b/library/jesd204/ad_ip_jesd204_tpl_dac/ad_ip_jesd204_tpl_dac_hw.tcl @@ -39,11 +39,11 @@ ad_ip_files ad_ip_jesd204_tpl_dac [list \ $ad_hdl_dir/library/common/up_dac_common.v \ $ad_hdl_dir/library/common/up_dac_channel.v \ \ - $ad_hdl_dir/library/ad_ip_jesd204_tpl_dac/ad_ip_jesd204_tpl_dac.v \ - $ad_hdl_dir/library/ad_ip_jesd204_tpl_dac/ad_ip_jesd204_tpl_dac_channel.v \ - $ad_hdl_dir/library/ad_ip_jesd204_tpl_dac/ad_ip_jesd204_tpl_dac_core.v \ - $ad_hdl_dir/library/ad_ip_jesd204_tpl_dac/ad_ip_jesd204_tpl_dac_framer.v \ - $ad_hdl_dir/library/ad_ip_jesd204_tpl_dac/ad_ip_jesd204_tpl_dac_regmap.v \ + $ad_hdl_dir/library/jesd204/ad_ip_jesd204_tpl_dac/ad_ip_jesd204_tpl_dac.v \ + $ad_hdl_dir/library/jesd204/ad_ip_jesd204_tpl_dac/ad_ip_jesd204_tpl_dac_channel.v \ + $ad_hdl_dir/library/jesd204/ad_ip_jesd204_tpl_dac/ad_ip_jesd204_tpl_dac_core.v \ + $ad_hdl_dir/library/jesd204/ad_ip_jesd204_tpl_dac/ad_ip_jesd204_tpl_dac_framer.v \ + $ad_hdl_dir/library/jesd204/ad_ip_jesd204_tpl_dac/ad_ip_jesd204_tpl_dac_regmap.v \ \ $ad_hdl_dir/library/altera/common/up_xfer_cntrl_constr.sdc \ $ad_hdl_dir/library/altera/common/up_xfer_status_constr.sdc \