fmcomms2:kcu105: Performance_ExploreWithRemap fixes DDR timing violation

main
Adrian Costina 2018-08-31 15:43:24 +03:00
parent 240b75cc45
commit 0e8515a90b
1 changed files with 3 additions and 0 deletions

View File

@ -11,6 +11,9 @@ adi_project_files fmcomms2_kcu105 [list \
"$ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc" \
"$ad_hdl_dir/projects/common/kcu105/kcu105_system_lutram_constr.xdc" ]
## To improve timing in DDR4 MIG
set_property strategy Performance_Retiming [get_runs impl_1]
adi_project_run fmcomms2_kcu105
source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl