From 0e8515a90b82bc960ccc733b2833e8fa67670bec Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Fri, 31 Aug 2018 15:43:24 +0300 Subject: [PATCH] fmcomms2:kcu105: Performance_ExploreWithRemap fixes DDR timing violation --- projects/fmcomms2/kcu105/system_project.tcl | 3 +++ 1 file changed, 3 insertions(+) diff --git a/projects/fmcomms2/kcu105/system_project.tcl b/projects/fmcomms2/kcu105/system_project.tcl index 5801d73d2..a9e2820af 100644 --- a/projects/fmcomms2/kcu105/system_project.tcl +++ b/projects/fmcomms2/kcu105/system_project.tcl @@ -11,6 +11,9 @@ adi_project_files fmcomms2_kcu105 [list \ "$ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc" \ "$ad_hdl_dir/projects/common/kcu105/kcu105_system_lutram_constr.xdc" ] +## To improve timing in DDR4 MIG +set_property strategy Performance_Retiming [get_runs impl_1] + adi_project_run fmcomms2_kcu105 source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl