From 0e2b47e5179a9671bddb6e72e0365f64528a08cc Mon Sep 17 00:00:00 2001 From: Lars-Peter Clausen Date: Tue, 28 Mar 2017 15:01:07 +0200 Subject: [PATCH] axi_adc_trigger: Temporarily disable trigger reporting in register map The current implementation doesn't quite work right when the interface clock is slower than the trigger clock and also causes timing issues. Disable it temporarily until a proper CDC transfer is implemented. Signed-off-by: Lars-Peter Clausen --- library/axi_adc_trigger/axi_adc_trigger_reg.v | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/library/axi_adc_trigger/axi_adc_trigger_reg.v b/library/axi_adc_trigger/axi_adc_trigger_reg.v index 0d07af552..f26e4af39 100644 --- a/library/axi_adc_trigger/axi_adc_trigger_reg.v +++ b/library/axi_adc_trigger/axi_adc_trigger_reg.v @@ -171,11 +171,11 @@ module axi_adc_trigger_reg ( if ((up_wreq_s == 1'b1) && (up_waddr[4:0] == 5'he)) begin up_delay_trigger <= up_wdata; end - if (triggered == 1'b1) begin - up_triggered <= 1'b1; - end else if ((up_wreq_s == 1'b1) && (up_waddr[4:0] == 5'hf)) begin - up_triggered <= up_wdata[0]; - end +// if (triggered == 1'b1) begin +// up_triggered <= 1'b1; +// end else if ((up_wreq_s == 1'b1) && (up_waddr[4:0] == 5'hf)) begin +// up_triggered <= up_wdata[0]; +// end end end