a10soc- updates-1

main
Rejeesh Kutty 2016-05-20 16:14:57 -04:00
parent 3f00614bc7
commit 0d1c4d232e
4 changed files with 1217 additions and 2 deletions

0
projects/adrv9371x/a10soc/system_bd.qsys Executable file → Normal file
View File

View File

@ -1,6 +1,7 @@
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
create_clock -period "4.000 ns" -name rx_clk_250mhz [get_ports {rx_clk_in}]
create_clock -period "8.139 ns" -name ref_clk0_122mhz [get_ports {ref_clk0}]
create_clock -period "8.139 ns" -name ref_clk1_122mhz [get_ports {ref_clk1}]
derive_pll_clocks
derive_clock_uncertainty

View File

@ -2,7 +2,7 @@
load_package flow
source ../../scripts/adi_env.tcl
project_new fmcomms2_a10soc -overwrite
project_new adrv9371x_a10soc -overwrite
source "../../common/a10soc/a10soc_system_assign.tcl"

File diff suppressed because it is too large Load Diff