diff --git a/library/axi_ad9122/Makefile b/library/axi_ad9122/Makefile index cf6dc7c0b..d4ede90f8 100644 --- a/library/axi_ad9122/Makefile +++ b/library/axi_ad9122/Makefile @@ -8,14 +8,14 @@ M_DEPS := axi_ad9122_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl -M_DEPS += ../common/ad_mul.v +M_DEPS += ../xilinx/common/ad_mul.v M_DEPS += ../common/ad_dds_sine.v M_DEPS += ../common/ad_dds_1.v M_DEPS += ../common/ad_dds.v M_DEPS += ../common/ad_rst.v -M_DEPS += ../common/ad_mmcm_drp.v -M_DEPS += ../common/ad_serdes_out.v -M_DEPS += ../common/ad_serdes_clk.v +M_DEPS += ../xilinx/common/ad_mmcm_drp.v +M_DEPS += ../xilinx/common/ad_serdes_out.v +M_DEPS += ../xilinx/common/ad_serdes_clk.v M_DEPS += ../common/up_axi.v M_DEPS += ../common/up_xfer_cntrl.v M_DEPS += ../common/up_xfer_status.v diff --git a/library/axi_ad9144/Makefile b/library/axi_ad9144/Makefile index 1a62aaee0..79c695e2c 100644 --- a/library/axi_ad9144/Makefile +++ b/library/axi_ad9144/Makefile @@ -9,7 +9,7 @@ M_DEPS := axi_ad9144_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += ../common/ad_axi_ip_constr.xdc -M_DEPS += ../common/ad_mul.v +M_DEPS += ../xilinx/common/ad_mul.v M_DEPS += ../common/ad_dds_sine.v M_DEPS += ../common/ad_dds_1.v M_DEPS += ../common/ad_dds.v diff --git a/library/axi_ad9152/Makefile b/library/axi_ad9152/Makefile index 70ef37ad3..ae659d94a 100644 --- a/library/axi_ad9152/Makefile +++ b/library/axi_ad9152/Makefile @@ -9,7 +9,7 @@ M_DEPS := axi_ad9152_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += ../common/ad_axi_ip_constr.xdc -M_DEPS += ../common/ad_mul.v +M_DEPS += ../xilinx/common/ad_mul.v M_DEPS += ../common/ad_dds_sine.v M_DEPS += ../common/ad_dds_1.v M_DEPS += ../common/ad_dds.v diff --git a/library/axi_ad9162/Makefile b/library/axi_ad9162/Makefile index 73919d834..ac071973d 100644 --- a/library/axi_ad9162/Makefile +++ b/library/axi_ad9162/Makefile @@ -9,7 +9,7 @@ M_DEPS := axi_ad9162_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += ../common/ad_axi_ip_constr.xdc -M_DEPS += ../common/ad_mul.v +M_DEPS += ../xilinx/common/ad_mul.v M_DEPS += ../common/ad_dds_sine.v M_DEPS += ../common/ad_dds_1.v M_DEPS += ../common/ad_dds.v diff --git a/library/axi_ad9265/Makefile b/library/axi_ad9265/Makefile index 6f6e07c31..bbff12cb5 100644 --- a/library/axi_ad9265/Makefile +++ b/library/axi_ad9265/Makefile @@ -9,8 +9,8 @@ M_DEPS := axi_ad9265_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += ../common/ad_rst.v -M_DEPS += ../common/ad_lvds_clk.v -M_DEPS += ../common/ad_lvds_in.v +M_DEPS += ../xilinx/common/ad_lvds_clk.v +M_DEPS += ../xilinx/common/ad_lvds_in.v M_DEPS += ../common/ad_datafmt.v M_DEPS += ../common/ad_dcfilter.v M_DEPS += ../common/ad_pnmon.v diff --git a/library/axi_ad9361/Makefile b/library/axi_ad9361/Makefile index 05640fc36..7752839e6 100644 --- a/library/axi_ad9361/Makefile +++ b/library/axi_ad9361/Makefile @@ -10,13 +10,13 @@ M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += ../common/ad_axi_ip_constr.xdc M_DEPS += ../common/ad_rst.v -M_DEPS += ../common/ad_lvds_clk.v -M_DEPS += ../common/ad_lvds_in.v -M_DEPS += ../common/ad_lvds_out.v -M_DEPS += ../common/ad_cmos_clk.v -M_DEPS += ../common/ad_cmos_in.v -M_DEPS += ../common/ad_cmos_out.v -M_DEPS += ../common/ad_mul.v +M_DEPS += ../xilinx/common/ad_lvds_clk.v +M_DEPS += ../xilinx/common/ad_lvds_in.v +M_DEPS += ../xilinx/common/ad_lvds_out.v +M_DEPS += ../xilinx/common/ad_cmos_clk.v +M_DEPS += ../xilinx/common/ad_cmos_in.v +M_DEPS += ../xilinx/common/ad_cmos_out.v +M_DEPS += ../xilinx/common/ad_mul.v M_DEPS += ../common/ad_pnmon.v M_DEPS += ../common/ad_dds_sine.v M_DEPS += ../common/ad_dds_1.v diff --git a/library/axi_ad9371/Makefile b/library/axi_ad9371/Makefile index bad4b3154..3dd9fb20c 100644 --- a/library/axi_ad9371/Makefile +++ b/library/axi_ad9371/Makefile @@ -10,7 +10,7 @@ M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += ../common/ad_axi_ip_constr.xdc M_DEPS += ../common/ad_rst.v -M_DEPS += ../common/ad_mul.v +M_DEPS += ../xilinx/common/ad_mul.v M_DEPS += ../common/ad_dds_sine.v M_DEPS += ../common/ad_dds_1.v M_DEPS += ../common/ad_dds.v diff --git a/library/axi_ad9434/Makefile b/library/axi_ad9434/Makefile index e9d7ef4de..b924b1398 100644 --- a/library/axi_ad9434/Makefile +++ b/library/axi_ad9434/Makefile @@ -8,9 +8,9 @@ M_DEPS := axi_ad9434_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl -M_DEPS += ../common/ad_serdes_clk.v -M_DEPS += ../common/ad_mmcm_drp.v -M_DEPS += ../common/ad_serdes_in.v +M_DEPS += ../xilinx/common/ad_serdes_clk.v +M_DEPS += ../xilinx/common/ad_mmcm_drp.v +M_DEPS += ../xilinx/common/ad_serdes_in.v M_DEPS += ../common/ad_datafmt.v M_DEPS += ../common/ad_rst.v M_DEPS += ../common/up_xfer_cntrl.v diff --git a/library/axi_ad9467/Makefile b/library/axi_ad9467/Makefile index 940dc400f..649976a9e 100644 --- a/library/axi_ad9467/Makefile +++ b/library/axi_ad9467/Makefile @@ -9,8 +9,8 @@ M_DEPS := axi_ad9467_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += ../common/ad_rst.v -M_DEPS += ../common/ad_lvds_clk.v -M_DEPS += ../common/ad_lvds_in.v +M_DEPS += ../xilinx/common/ad_lvds_clk.v +M_DEPS += ../xilinx/common/ad_lvds_in.v M_DEPS += ../common/ad_datafmt.v M_DEPS += ../common/ad_pnmon.v M_DEPS += ../common/up_xfer_status.v diff --git a/library/axi_ad9643/Makefile b/library/axi_ad9643/Makefile index 44ca7a684..834b235cc 100644 --- a/library/axi_ad9643/Makefile +++ b/library/axi_ad9643/Makefile @@ -9,9 +9,9 @@ M_DEPS := axi_ad9643_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += ../common/ad_rst.v -M_DEPS += ../common/ad_mul.v -M_DEPS += ../common/ad_lvds_clk.v -M_DEPS += ../common/ad_lvds_in.v +M_DEPS += ../xilinx/common/ad_mul.v +M_DEPS += ../xilinx/common/ad_lvds_clk.v +M_DEPS += ../xilinx/common/ad_lvds_in.v M_DEPS += ../common/ad_pnmon.v M_DEPS += ../common/ad_datafmt.v M_DEPS += ../common/ad_dcfilter.v diff --git a/library/axi_ad9652/Makefile b/library/axi_ad9652/Makefile index 478c0f50e..6a12efda9 100644 --- a/library/axi_ad9652/Makefile +++ b/library/axi_ad9652/Makefile @@ -9,9 +9,9 @@ M_DEPS := axi_ad9652_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += ../common/ad_rst.v -M_DEPS += ../common/ad_mul.v -M_DEPS += ../common/ad_lvds_clk.v -M_DEPS += ../common/ad_lvds_in.v +M_DEPS += ../xilinx/common/ad_mul.v +M_DEPS += ../xilinx/common/ad_lvds_clk.v +M_DEPS += ../xilinx/common/ad_lvds_in.v M_DEPS += ../common/ad_pnmon.v M_DEPS += ../common/ad_dcfilter.v M_DEPS += ../common/ad_iqcor.v diff --git a/library/axi_ad9684/Makefile b/library/axi_ad9684/Makefile index 3f25f512f..4754a0f74 100644 --- a/library/axi_ad9684/Makefile +++ b/library/axi_ad9684/Makefile @@ -9,9 +9,9 @@ M_DEPS := axi_ad9684_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += ../common/ad_rst.v -M_DEPS += ../common/ad_serdes_in.v -M_DEPS += ../common/ad_serdes_clk.v -M_DEPS += ../common/ad_mmcm_drp.v +M_DEPS += ../xilinx/common/ad_serdes_in.v +M_DEPS += ../xilinx/common/ad_serdes_clk.v +M_DEPS += ../xilinx/common/ad_mmcm_drp.v M_DEPS += ../common/ad_datafmt.v M_DEPS += ../common/ad_pnmon.v M_DEPS += ../common/up_xfer_status.v diff --git a/library/axi_ad9739a/Makefile b/library/axi_ad9739a/Makefile index 43e235827..0728a461e 100644 --- a/library/axi_ad9739a/Makefile +++ b/library/axi_ad9739a/Makefile @@ -8,12 +8,12 @@ M_DEPS := axi_ad9739a_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl -M_DEPS += ../common/ad_mul.v +M_DEPS += ../xilinx/common/ad_mul.v M_DEPS += ../common/ad_dds_sine.v M_DEPS += ../common/ad_dds_1.v M_DEPS += ../common/ad_dds.v M_DEPS += ../common/ad_rst.v -M_DEPS += ../common/ad_serdes_out.v +M_DEPS += ../xilinx/common/ad_serdes_out.v M_DEPS += ../common/up_axi.v M_DEPS += ../common/up_xfer_cntrl.v M_DEPS += ../common/up_xfer_status.v diff --git a/library/axi_clkgen/Makefile b/library/axi_clkgen/Makefile index 0a1f03758..2936da44b 100644 --- a/library/axi_clkgen/Makefile +++ b/library/axi_clkgen/Makefile @@ -9,7 +9,7 @@ M_DEPS := axi_clkgen_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl M_DEPS += ../common/ad_rst.v -M_DEPS += ../common/ad_mmcm_drp.v +M_DEPS += ../xilinx/common/ad_mmcm_drp.v M_DEPS += ../common/up_axi.v M_DEPS += ../common/up_clkgen.v M_DEPS += axi_clkgen_constr.xdc diff --git a/projects/ad6676evb/vc707/Makefile b/projects/ad6676evb/vc707/Makefile index 616d1df47..f37c1c0ef 100644 --- a/projects/ad6676evb/vc707/Makefile +++ b/projects/ad6676evb/vc707/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr diff --git a/projects/ad6676evb/zc706/Makefile b/projects/ad6676evb/zc706/Makefile index 2320d034e..149104d87 100644 --- a/projects/ad6676evb/zc706/Makefile +++ b/projects/ad6676evb/zc706/Makefile @@ -15,7 +15,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/ad7616_sdz/zc706/Makefile b/projects/ad7616_sdz/zc706/Makefile index 8494dd0dd..29e53e7d3 100644 --- a/projects/ad7616_sdz/zc706/Makefile +++ b/projects/ad7616_sdz/zc706/Makefile @@ -18,8 +18,8 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad7616/axi_ad7616.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/ad7616_sdz/zed/Makefile b/projects/ad7616_sdz/zed/Makefile index 5bd037042..4b61c5ee4 100644 --- a/projects/ad7616_sdz/zed/Makefile +++ b/projects/ad7616_sdz/zed/Makefile @@ -18,8 +18,8 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad7616/axi_ad7616.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/ad7768evb/zed/Makefile b/projects/ad7768evb/zed/Makefile index f84f18dfb..c15c5a91f 100644 --- a/projects/ad7768evb/zed/Makefile +++ b/projects/ad7768evb/zed/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr diff --git a/projects/ad9265_fmc/zc706/Makefile b/projects/ad9265_fmc/zc706/Makefile index a6e129bf2..e51b8b86c 100644 --- a/projects/ad9265_fmc/zc706/Makefile +++ b/projects/ad9265_fmc/zc706/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9265/axi_ad9265.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/ad9434_fmc/zc706/Makefile b/projects/ad9434_fmc/zc706/Makefile index 134da3761..e47d747f9 100644 --- a/projects/ad9434_fmc/zc706/Makefile +++ b/projects/ad9434_fmc/zc706/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9434/axi_ad9434.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/ad9467_fmc/kc705/Makefile b/projects/ad9467_fmc/kc705/Makefile index 3d35cdf62..1287d339f 100644 --- a/projects/ad9467_fmc/kc705/Makefile +++ b/projects/ad9467_fmc/kc705/Makefile @@ -17,7 +17,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += ../../common/kc705/kc705_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/ad9467_fmc/zed/Makefile b/projects/ad9467_fmc/zed/Makefile index b7166bd48..e986af978 100644 --- a/projects/ad9467_fmc/zed/Makefile +++ b/projects/ad9467_fmc/zed/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/ad9739a_fmc/zc706/Makefile b/projects/ad9739a_fmc/zc706/Makefile index 22fabd30a..c7ff8e8ac 100644 --- a/projects/ad9739a_fmc/zc706/Makefile +++ b/projects/ad9739a_fmc/zc706/Makefile @@ -15,7 +15,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9739a/axi_ad9739a.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/adrv9371x/a10soc/Makefile b/projects/adrv9371x/a10soc/Makefile index 652c17457..2870fb421 100644 --- a/projects/adrv9371x/a10soc/Makefile +++ b/projects/adrv9371x/a10soc/Makefile @@ -13,6 +13,7 @@ M_DEPS += ../common/adrv9371x_bd.qsys M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a10soc/a10soc_system_bd.qsys M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl +M_DEPS += ../../../library/altera/common/ad_mul.v M_DEPS += ../../../library/axi_ad9371/axi_ad9371.v M_DEPS += ../../../library/axi_ad9371/axi_ad9371_hw.tcl M_DEPS += ../../../library/axi_ad9371/axi_ad9371_if.v @@ -49,10 +50,7 @@ M_DEPS += ../../../library/common/ad_dds_1.v M_DEPS += ../../../library/common/ad_dds_sine.v M_DEPS += ../../../library/common/ad_iqcor.v M_DEPS += ../../../library/common/ad_jesd_align.v -M_DEPS += ../../../library/common/ad_mul.v M_DEPS += ../../../library/common/ad_rst.v -M_DEPS += ../../../library/common/altera/DSP48E1.v -M_DEPS += ../../../library/common/altera/MULT_MACRO.v M_DEPS += ../../../library/common/sync_bits.v M_DEPS += ../../../library/common/sync_gray.v M_DEPS += ../../../library/common/up_adc_channel.v diff --git a/projects/adrv9371x/zc706/Makefile b/projects/adrv9371x/zc706/Makefile index 75c8ccb71..f02d31df9 100644 --- a/projects/adrv9371x/zc706/Makefile +++ b/projects/adrv9371x/zc706/Makefile @@ -19,7 +19,7 @@ M_DEPS += ../../common/zc706/zc706_system_mig.prj M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9371/axi_ad9371.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dacfifo/axi_dacfifo.xpr diff --git a/projects/adv7511/ac701/Makefile b/projects/adv7511/ac701/Makefile index 3e7747eed..a6c78b927 100644 --- a/projects/adv7511/ac701/Makefile +++ b/projects/adv7511/ac701/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../common/ac701/ac701_system_constr.xdc M_DEPS += ../../common/ac701/ac701_system_bd.tcl M_DEPS += ../../adv7511/common/adv7511_bd.tcl M_DEPS += ../../adv7511/ac701/system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr diff --git a/projects/adv7511/kc705/Makefile b/projects/adv7511/kc705/Makefile index 9f1e38602..0700ae64e 100644 --- a/projects/adv7511/kc705/Makefile +++ b/projects/adv7511/kc705/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += ../../common/kc705/kc705_system_bd.tcl M_DEPS += ../../adv7511/common/adv7511_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr diff --git a/projects/adv7511/kcu105/Makefile b/projects/adv7511/kcu105/Makefile index a8f1a08fe..7d0d0e89f 100644 --- a/projects/adv7511/kcu105/Makefile +++ b/projects/adv7511/kcu105/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr diff --git a/projects/adv7511/mitx045/Makefile b/projects/adv7511/mitx045/Makefile index 1701121f8..20e6df52c 100644 --- a/projects/adv7511/mitx045/Makefile +++ b/projects/adv7511/mitx045/Makefile @@ -14,7 +14,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/mitx045/mitx045_system_ps7.tcl M_DEPS += ../../common/mitx045/mitx045_system_constr.xdc M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr diff --git a/projects/adv7511/vc707/Makefile b/projects/adv7511/vc707/Makefile index f809d5bd5..01f33d999 100644 --- a/projects/adv7511/vc707/Makefile +++ b/projects/adv7511/vc707/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl M_DEPS += ../../adv7511/vc707/system_constr.xdc M_DEPS += ../../adv7511/common/adv7511_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr diff --git a/projects/adv7511/zc702/Makefile b/projects/adv7511/zc702/Makefile index 961410265..71f192a50 100644 --- a/projects/adv7511/zc702/Makefile +++ b/projects/adv7511/zc702/Makefile @@ -13,7 +13,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zc702/zc702_system_constr.xdc M_DEPS += ../../common/zc702/zc702_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr diff --git a/projects/adv7511/zc706/Makefile b/projects/adv7511/zc706/Makefile index 1ff02713a..65085d55b 100644 --- a/projects/adv7511/zc706/Makefile +++ b/projects/adv7511/zc706/Makefile @@ -13,7 +13,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr diff --git a/projects/adv7511/zed/Makefile b/projects/adv7511/zed/Makefile index e263f326a..d5f12056a 100644 --- a/projects/adv7511/zed/Makefile +++ b/projects/adv7511/zed/Makefile @@ -13,7 +13,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr diff --git a/projects/arradio/c5soc/Makefile b/projects/arradio/c5soc/Makefile index ea68e588a..2b74027c2 100644 --- a/projects/arradio/c5soc/Makefile +++ b/projects/arradio/c5soc/Makefile @@ -13,7 +13,13 @@ M_DEPS += ../common/arradio_bd.qsys M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/c5soc/c5soc_system_bd.qsys M_DEPS += ../../common/c5soc/c5soc_system_assign.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/altera/common/ad_cmos_clk.v +M_DEPS += ../../../library/altera/common/ad_cmos_in.v +M_DEPS += ../../../library/altera/common/ad_cmos_out.v +M_DEPS += ../../../library/altera/common/ad_lvds_clk.v +M_DEPS += ../../../library/altera/common/ad_lvds_in.v +M_DEPS += ../../../library/altera/common/ad_lvds_out.v +M_DEPS += ../../../library/altera/common/ad_mul.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_cmos_if.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_hw.tcl @@ -52,18 +58,9 @@ M_DEPS += ../../../library/common/ad_dds_1.v M_DEPS += ../../../library/common/ad_dds_sine.v M_DEPS += ../../../library/common/ad_iqcor.v M_DEPS += ../../../library/common/ad_mem.v -M_DEPS += ../../../library/common/ad_mul.v M_DEPS += ../../../library/common/ad_pnmon.v M_DEPS += ../../../library/common/ad_rst.v M_DEPS += ../../../library/common/ad_tdd_control.v -M_DEPS += ../../../library/common/altera/DSP48E1.v -M_DEPS += ../../../library/common/altera/MULT_MACRO.v -M_DEPS += ../../../library/common/altera/ad_cmos_clk.v -M_DEPS += ../../../library/common/altera/ad_cmos_in.v -M_DEPS += ../../../library/common/altera/ad_cmos_out.v -M_DEPS += ../../../library/common/altera/ad_lvds_clk.v -M_DEPS += ../../../library/common/altera/ad_lvds_in.v -M_DEPS += ../../../library/common/altera/ad_lvds_out.v M_DEPS += ../../../library/common/sync_bits.v M_DEPS += ../../../library/common/sync_gray.v M_DEPS += ../../../library/common/up_adc_channel.v diff --git a/projects/cftl_cip/zed/Makefile b/projects/cftl_cip/zed/Makefile index 686ba8caf..fbd23dc41 100644 --- a/projects/cftl_cip/zed/Makefile +++ b/projects/cftl_cip/zed/Makefile @@ -15,7 +15,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr diff --git a/projects/cftl_std/zed/Makefile b/projects/cftl_std/zed/Makefile index fd12ba5b0..7b2f85d78 100644 --- a/projects/cftl_std/zed/Makefile +++ b/projects/cftl_std/zed/Makefile @@ -15,7 +15,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr diff --git a/projects/cn0363/microzed/Makefile b/projects/cn0363/microzed/Makefile index fd152e0c1..246d22806 100644 --- a/projects/cn0363/microzed/Makefile +++ b/projects/cn0363/microzed/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/microzed/microzed_system_ps7.tcl M_DEPS += ../../common/microzed/microzed_system_constr.xdc M_DEPS += ../../common/microzed/microzed_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_generic_adc/axi_generic_adc.xpr M_DEPS += ../../../library/spi_engine/axi_spi_engine/axi_spi_engine.xpr diff --git a/projects/cn0363/zed/Makefile b/projects/cn0363/zed/Makefile index da541a22e..53871a020 100644 --- a/projects/cn0363/zed/Makefile +++ b/projects/cn0363/zed/Makefile @@ -15,7 +15,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_generic_adc/axi_generic_adc.xpr diff --git a/projects/daq1/zc706/Makefile b/projects/daq1/zc706/Makefile index 01ea63b33..26a7a7ca0 100644 --- a/projects/daq1/zc706/Makefile +++ b/projects/daq1/zc706/Makefile @@ -19,7 +19,7 @@ M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc M_DEPS += ../../common/zc706/zc706_system_mig.prj M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9684/axi_ad9684.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr diff --git a/projects/daq2/a10gx/Makefile b/projects/daq2/a10gx/Makefile index 70076698f..59baf6452 100644 --- a/projects/daq2/a10gx/Makefile +++ b/projects/daq2/a10gx/Makefile @@ -14,7 +14,7 @@ M_DEPS += ../common/daq2_qsys.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/altera/common/ad_mul.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144_channel.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144_core.v @@ -51,11 +51,9 @@ M_DEPS += ../../../library/common/ad_dds.v M_DEPS += ../../../library/common/ad_dds_1.v M_DEPS += ../../../library/common/ad_dds_sine.v M_DEPS += ../../../library/common/ad_jesd_align.v -M_DEPS += ../../../library/common/ad_mul.v M_DEPS += ../../../library/common/ad_pnmon.v M_DEPS += ../../../library/common/ad_rst.v M_DEPS += ../../../library/common/ad_xcvr_rx_if.v -M_DEPS += ../../../library/common/altera/MULT_MACRO.v M_DEPS += ../../../library/common/sync_bits.v M_DEPS += ../../../library/common/sync_gray.v M_DEPS += ../../../library/common/up_adc_channel.v diff --git a/projects/daq2/kc705/Makefile b/projects/daq2/kc705/Makefile index f5d9acfd7..81a783ac9 100644 --- a/projects/daq2/kc705/Makefile +++ b/projects/daq2/kc705/Makefile @@ -18,7 +18,7 @@ M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += ../../common/kc705/kc705_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr diff --git a/projects/daq2/kcu105/Makefile b/projects/daq2/kcu105/Makefile index 247fbb27e..a1ec352fc 100644 --- a/projects/daq2/kcu105/Makefile +++ b/projects/daq2/kcu105/Makefile @@ -18,7 +18,7 @@ M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr diff --git a/projects/daq2/vc707/Makefile b/projects/daq2/vc707/Makefile index 9b070ccc0..04212c867 100644 --- a/projects/daq2/vc707/Makefile +++ b/projects/daq2/vc707/Makefile @@ -18,7 +18,7 @@ M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr diff --git a/projects/daq2/zc706/Makefile b/projects/daq2/zc706/Makefile index 380f4ea33..52f51a53b 100644 --- a/projects/daq2/zc706/Makefile +++ b/projects/daq2/zc706/Makefile @@ -20,7 +20,7 @@ M_DEPS += ../../common/zc706/zc706_system_mig.prj M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr diff --git a/projects/daq3/a10gx/Makefile b/projects/daq3/a10gx/Makefile index 92492ad75..bb4b08976 100644 --- a/projects/daq3/a10gx/Makefile +++ b/projects/daq3/a10gx/Makefile @@ -14,6 +14,7 @@ M_DEPS += ../common/daq3_qsys.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl +M_DEPS += ../../../library/altera/common/ad_mul.v M_DEPS += ../../../library/axi_ad9152/axi_ad9152.v M_DEPS += ../../../library/axi_ad9152/axi_ad9152_channel.v M_DEPS += ../../../library/axi_ad9152/axi_ad9152_core.v @@ -50,11 +51,9 @@ M_DEPS += ../../../library/common/ad_dds.v M_DEPS += ../../../library/common/ad_dds_1.v M_DEPS += ../../../library/common/ad_dds_sine.v M_DEPS += ../../../library/common/ad_jesd_align.v -M_DEPS += ../../../library/common/ad_mul.v M_DEPS += ../../../library/common/ad_pnmon.v M_DEPS += ../../../library/common/ad_rst.v M_DEPS += ../../../library/common/ad_xcvr_rx_if.v -M_DEPS += ../../../library/common/altera/MULT_MACRO.v M_DEPS += ../../../library/common/sync_bits.v M_DEPS += ../../../library/common/sync_gray.v M_DEPS += ../../../library/common/up_adc_channel.v diff --git a/projects/daq3/kcu105/Makefile b/projects/daq3/kcu105/Makefile index 568d3f2bc..dc9fe218a 100644 --- a/projects/daq3/kcu105/Makefile +++ b/projects/daq3/kcu105/Makefile @@ -18,7 +18,7 @@ M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/daq3/zc706/Makefile b/projects/daq3/zc706/Makefile index 60655c5ef..78c21f40c 100644 --- a/projects/daq3/zc706/Makefile +++ b/projects/daq3/zc706/Makefile @@ -20,7 +20,7 @@ M_DEPS += ../../common/zc706/zc706_system_mig.prj M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr diff --git a/projects/fmcadc2/vc707/Makefile b/projects/fmcadc2/vc707/Makefile index 1215e2339..14e82f504 100644 --- a/projects/fmcadc2/vc707/Makefile +++ b/projects/fmcadc2/vc707/Makefile @@ -18,7 +18,7 @@ M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr diff --git a/projects/fmcadc2/zc706/Makefile b/projects/fmcadc2/zc706/Makefile index f207d5a77..74b389f1e 100644 --- a/projects/fmcadc2/zc706/Makefile +++ b/projects/fmcadc2/zc706/Makefile @@ -19,7 +19,7 @@ M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc M_DEPS += ../../common/zc706/zc706_system_mig.prj M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr diff --git a/projects/fmcadc4/zc706/Makefile b/projects/fmcadc4/zc706/Makefile index 6086e7713..fbcd95760 100644 --- a/projects/fmcadc4/zc706/Makefile +++ b/projects/fmcadc4/zc706/Makefile @@ -19,7 +19,7 @@ M_DEPS += ../../common/zc706/zc706_system_mig_constr.xdc M_DEPS += ../../common/zc706/zc706_system_mig.prj M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr diff --git a/projects/fmcadc5/vc707/Makefile b/projects/fmcadc5/vc707/Makefile index 421ca7519..3ab6280e9 100644 --- a/projects/fmcadc5/vc707/Makefile +++ b/projects/fmcadc5/vc707/Makefile @@ -19,8 +19,8 @@ M_DEPS += ../../common/xilinx/sys_dmafifo.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl -M_DEPS += ../../../library/common/ad_lvds_out.v -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_lvds_out.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr diff --git a/projects/fmcjesdadc1/a5gt/Makefile b/projects/fmcjesdadc1/a5gt/Makefile index ea00e8e03..6a52e19bd 100644 --- a/projects/fmcjesdadc1/a5gt/Makefile +++ b/projects/fmcjesdadc1/a5gt/Makefile @@ -14,7 +14,6 @@ M_DEPS += ../common/fmcjesdadc1_bd.qsys M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a5gt/a5gt_system_bd.qsys M_DEPS += ../../common/a5gt/a5gt_system_assign.tcl -M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl diff --git a/projects/fmcjesdadc1/a5soc/Makefile b/projects/fmcjesdadc1/a5soc/Makefile index 3a6dc113c..27db0a706 100644 --- a/projects/fmcjesdadc1/a5soc/Makefile +++ b/projects/fmcjesdadc1/a5soc/Makefile @@ -14,7 +14,6 @@ M_DEPS += ../common/fmcjesdadc1_bd.qsys M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a5soc/a5soc_system_bd.qsys M_DEPS += ../../common/a5soc/a5soc_system_assign.tcl -M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl diff --git a/projects/fmcjesdadc1/kc705/Makefile b/projects/fmcjesdadc1/kc705/Makefile index 84e0f1d60..f2d344498 100644 --- a/projects/fmcjesdadc1/kc705/Makefile +++ b/projects/fmcjesdadc1/kc705/Makefile @@ -17,7 +17,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += ../../common/kc705/kc705_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr diff --git a/projects/fmcjesdadc1/vc707/Makefile b/projects/fmcjesdadc1/vc707/Makefile index 74b65b5ae..9d3448e72 100644 --- a/projects/fmcjesdadc1/vc707/Makefile +++ b/projects/fmcjesdadc1/vc707/Makefile @@ -17,7 +17,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr diff --git a/projects/fmcjesdadc1/zc706/Makefile b/projects/fmcjesdadc1/zc706/Makefile index 030f961f0..f9fe02005 100644 --- a/projects/fmcjesdadc1/zc706/Makefile +++ b/projects/fmcjesdadc1/zc706/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms1/ac701/Makefile b/projects/fmcomms1/ac701/Makefile index 052111b9d..b75bf5e4d 100644 --- a/projects/fmcomms1/ac701/Makefile +++ b/projects/fmcomms1/ac701/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/ac701/ac701_system_mig.prj M_DEPS += ../../common/ac701/ac701_system_constr.xdc M_DEPS += ../../common/ac701/ac701_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms1/kc705/Makefile b/projects/fmcomms1/kc705/Makefile index 995135825..aa1633c2a 100644 --- a/projects/fmcomms1/kc705/Makefile +++ b/projects/fmcomms1/kc705/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += ../../common/kc705/kc705_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms1/vc707/Makefile b/projects/fmcomms1/vc707/Makefile index f86716289..b1648171d 100644 --- a/projects/fmcomms1/vc707/Makefile +++ b/projects/fmcomms1/vc707/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms1/zc702/Makefile b/projects/fmcomms1/zc702/Makefile index a1bfa3a34..e6e0c6fa5 100644 --- a/projects/fmcomms1/zc702/Makefile +++ b/projects/fmcomms1/zc702/Makefile @@ -15,7 +15,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zc702/zc702_system_constr.xdc M_DEPS += ../../common/zc702/zc702_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr diff --git a/projects/fmcomms1/zc706/Makefile b/projects/fmcomms1/zc706/Makefile index 2fed37f66..c0e2e5068 100644 --- a/projects/fmcomms1/zc706/Makefile +++ b/projects/fmcomms1/zc706/Makefile @@ -15,7 +15,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr diff --git a/projects/fmcomms1/zed/Makefile b/projects/fmcomms1/zed/Makefile index a5233c373..6d3fd1ac3 100644 --- a/projects/fmcomms1/zed/Makefile +++ b/projects/fmcomms1/zed/Makefile @@ -15,7 +15,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr diff --git a/projects/fmcomms11/zc706/Makefile b/projects/fmcomms11/zc706/Makefile index b747e7202..de49805e9 100644 --- a/projects/fmcomms11/zc706/Makefile +++ b/projects/fmcomms11/zc706/Makefile @@ -20,7 +20,7 @@ M_DEPS += ../../common/zc706/zc706_system_mig.prj M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9162/axi_ad9162.xpr M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr diff --git a/projects/fmcomms2/a10gx/Makefile b/projects/fmcomms2/a10gx/Makefile index 2ee4d6487..4c1ed9ca0 100644 --- a/projects/fmcomms2/a10gx/Makefile +++ b/projects/fmcomms2/a10gx/Makefile @@ -13,6 +13,13 @@ M_DEPS += ../common/fmcomms2_qsys.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl +M_DEPS += ../../../library/altera/common/ad_cmos_clk.v +M_DEPS += ../../../library/altera/common/ad_cmos_in.v +M_DEPS += ../../../library/altera/common/ad_cmos_out.v +M_DEPS += ../../../library/altera/common/ad_lvds_clk.v +M_DEPS += ../../../library/altera/common/ad_lvds_in.v +M_DEPS += ../../../library/altera/common/ad_lvds_out.v +M_DEPS += ../../../library/altera/common/ad_mul.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_cmos_if.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_hw.tcl @@ -51,18 +58,9 @@ M_DEPS += ../../../library/common/ad_dds_1.v M_DEPS += ../../../library/common/ad_dds_sine.v M_DEPS += ../../../library/common/ad_iqcor.v M_DEPS += ../../../library/common/ad_mem.v -M_DEPS += ../../../library/common/ad_mul.v M_DEPS += ../../../library/common/ad_pnmon.v M_DEPS += ../../../library/common/ad_rst.v M_DEPS += ../../../library/common/ad_tdd_control.v -M_DEPS += ../../../library/common/altera/DSP48E1.v -M_DEPS += ../../../library/common/altera/MULT_MACRO.v -M_DEPS += ../../../library/common/altera/ad_cmos_clk.v -M_DEPS += ../../../library/common/altera/ad_cmos_in.v -M_DEPS += ../../../library/common/altera/ad_cmos_out.v -M_DEPS += ../../../library/common/altera/ad_lvds_clk.v -M_DEPS += ../../../library/common/altera/ad_lvds_in.v -M_DEPS += ../../../library/common/altera/ad_lvds_out.v M_DEPS += ../../../library/common/sync_bits.v M_DEPS += ../../../library/common/sync_gray.v M_DEPS += ../../../library/common/up_adc_channel.v diff --git a/projects/fmcomms2/ac701/Makefile b/projects/fmcomms2/ac701/Makefile index 66954d699..ce1b2c52f 100644 --- a/projects/fmcomms2/ac701/Makefile +++ b/projects/fmcomms2/ac701/Makefile @@ -17,7 +17,7 @@ M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../../common/ac701/ac701_system_mig.prj M_DEPS += ../../common/ac701/ac701_system_constr.xdc M_DEPS += ../../common/ac701/ac701_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr diff --git a/projects/fmcomms2/kc705/Makefile b/projects/fmcomms2/kc705/Makefile index d7db6fb18..d3f85e133 100644 --- a/projects/fmcomms2/kc705/Makefile +++ b/projects/fmcomms2/kc705/Makefile @@ -17,7 +17,7 @@ M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../../common/kc705/kc705_system_mig.prj M_DEPS += ../../common/kc705/kc705_system_constr.xdc M_DEPS += ../../common/kc705/kc705_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr diff --git a/projects/fmcomms2/mitx045/Makefile b/projects/fmcomms2/mitx045/Makefile index 34c32cfeb..83e16785d 100644 --- a/projects/fmcomms2/mitx045/Makefile +++ b/projects/fmcomms2/mitx045/Makefile @@ -17,7 +17,7 @@ M_DEPS += ../../common/xilinx/sys_wfifo.tcl M_DEPS += ../../common/mitx045/mitx045_system_ps7.tcl M_DEPS += ../../common/mitx045/mitx045_system_constr.xdc M_DEPS += ../../common/mitx045/mitx045_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms2/vc707/Makefile b/projects/fmcomms2/vc707/Makefile index 1089a7b28..2bba9ecfc 100644 --- a/projects/fmcomms2/vc707/Makefile +++ b/projects/fmcomms2/vc707/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/vc707/vc707_system_mig.prj M_DEPS += ../../common/vc707/vc707_system_constr.xdc M_DEPS += ../../common/vc707/vc707_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr diff --git a/projects/fmcomms2/zc702/Makefile b/projects/fmcomms2/zc702/Makefile index f5064b9e0..bb60b6d34 100644 --- a/projects/fmcomms2/zc702/Makefile +++ b/projects/fmcomms2/zc702/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zc702/zc702_system_constr.xdc M_DEPS += ../../common/zc702/zc702_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms2/zc706/Makefile b/projects/fmcomms2/zc706/Makefile index 99f47d740..5a761936c 100644 --- a/projects/fmcomms2/zc706/Makefile +++ b/projects/fmcomms2/zc706/Makefile @@ -15,7 +15,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms2/zcu102/Makefile b/projects/fmcomms2/zcu102/Makefile index ec72c72ec..96db5feba 100644 --- a/projects/fmcomms2/zcu102/Makefile +++ b/projects/fmcomms2/zcu102/Makefile @@ -15,7 +15,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr diff --git a/projects/fmcomms2/zed/Makefile b/projects/fmcomms2/zed/Makefile index ee553a9ac..15b95124a 100644 --- a/projects/fmcomms2/zed/Makefile +++ b/projects/fmcomms2/zed/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl M_DEPS += ../../common/xilinx/sys_wfifo.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms5/zc702/Makefile b/projects/fmcomms5/zc702/Makefile index 98e7a633e..00c7e089a 100644 --- a/projects/fmcomms5/zc702/Makefile +++ b/projects/fmcomms5/zc702/Makefile @@ -15,7 +15,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zc702/zc702_system_constr.xdc M_DEPS += ../../common/zc702/zc702_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms5/zc706/Makefile b/projects/fmcomms5/zc706/Makefile index 2645c832b..069f26c0d 100644 --- a/projects/fmcomms5/zc706/Makefile +++ b/projects/fmcomms5/zc706/Makefile @@ -15,7 +15,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms6/zc706/Makefile b/projects/fmcomms6/zc706/Makefile index b1292bc9f..e84934f94 100644 --- a/projects/fmcomms6/zc706/Makefile +++ b/projects/fmcomms6/zc706/Makefile @@ -16,7 +16,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9652/axi_ad9652.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/fmcomms7/zc706/Makefile b/projects/fmcomms7/zc706/Makefile index c0df5c1da..3ddbc0915 100644 --- a/projects/fmcomms7/zc706/Makefile +++ b/projects/fmcomms7/zc706/Makefile @@ -20,7 +20,7 @@ M_DEPS += ../../common/zc706/zc706_system_mig.prj M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/xilinx/sys_dmafifo.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr diff --git a/projects/imageon/zc706/Makefile b/projects/imageon/zc706/Makefile index da98488cb..a01d63ffa 100644 --- a/projects/imageon/zc706/Makefile +++ b/projects/imageon/zc706/Makefile @@ -14,7 +14,7 @@ M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_hdmi_rx/axi_hdmi_rx.xpr diff --git a/projects/imageon/zed/Makefile b/projects/imageon/zed/Makefile index f7011b01a..29e292c86 100644 --- a/projects/imageon/zed/Makefile +++ b/projects/imageon/zed/Makefile @@ -14,7 +14,7 @@ M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_hdmi_rx/axi_hdmi_rx.xpr diff --git a/projects/motcon2_fmc/zed/Makefile b/projects/motcon2_fmc/zed/Makefile index d4d26249b..c4177d1a4 100644 --- a/projects/motcon2_fmc/zed/Makefile +++ b/projects/motcon2_fmc/zed/Makefile @@ -15,7 +15,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zed/zed_system_constr.xdc M_DEPS += ../../common/zed/zed_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr diff --git a/projects/pzsdr/ccbrk/Makefile b/projects/pzsdr/ccbrk/Makefile index 38dd273a7..41615cbcf 100644 --- a/projects/pzsdr/ccbrk/Makefile +++ b/projects/pzsdr/ccbrk/Makefile @@ -19,7 +19,8 @@ M_DEPS += ../../common/pzsdr/pzsdr_system_ps7.tcl M_DEPS += ../../common/pzsdr/pzsdr_system_constr.xdc M_DEPS += ../../common/pzsdr/pzsdr_system_bd.tcl M_DEPS += ../../common/pzsdr/pzsdr_lvds_system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../common/pzsdr/pzsdr_bd_system_constr.xdc +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr diff --git a/projects/pzsdr/ccbrk_cmos/Makefile b/projects/pzsdr/ccbrk_cmos/Makefile index ca329962d..bfb0de681 100644 --- a/projects/pzsdr/ccbrk_cmos/Makefile +++ b/projects/pzsdr/ccbrk_cmos/Makefile @@ -19,7 +19,8 @@ M_DEPS += ../../common/pzsdr/pzsdr_system_ps7.tcl M_DEPS += ../../common/pzsdr/pzsdr_system_constr.xdc M_DEPS += ../../common/pzsdr/pzsdr_system_bd.tcl M_DEPS += ../../common/pzsdr/pzsdr_cmos_system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../common/pzsdr/pzsdr_bd_system_constr.xdc +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr diff --git a/projects/pzsdr/ccfmc/Makefile b/projects/pzsdr/ccfmc/Makefile index c11c3c679..3d51c29c6 100644 --- a/projects/pzsdr/ccfmc/Makefile +++ b/projects/pzsdr/ccfmc/Makefile @@ -19,7 +19,8 @@ M_DEPS += ../../common/pzsdr/pzsdr_system_ps7.tcl M_DEPS += ../../common/pzsdr/pzsdr_system_constr.xdc M_DEPS += ../../common/pzsdr/pzsdr_system_bd.tcl M_DEPS += ../../common/pzsdr/pzsdr_lvds_system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../common/pzsdr/pzsdr_bd_system_constr.xdc +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr diff --git a/projects/pzsdr/ccpci/Makefile b/projects/pzsdr/ccpci/Makefile index e726fe70f..d0b9f415c 100644 --- a/projects/pzsdr/ccpci/Makefile +++ b/projects/pzsdr/ccpci/Makefile @@ -19,7 +19,7 @@ M_DEPS += ../../common/pzsdr/pzsdr_system_ps7.tcl M_DEPS += ../../common/pzsdr/pzsdr_system_constr.xdc M_DEPS += ../../common/pzsdr/pzsdr_system_bd.tcl M_DEPS += ../../common/pzsdr/pzsdr_lvds_system_constr.xdc -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr diff --git a/projects/pzsdr1/ccbrk/Makefile b/projects/pzsdr1/ccbrk/Makefile index dc5a34c56..20dd931d1 100644 --- a/projects/pzsdr1/ccbrk/Makefile +++ b/projects/pzsdr1/ccbrk/Makefile @@ -19,7 +19,7 @@ M_DEPS += ../../common/pzsdr1/pzsdr1_system_constr.xdc M_DEPS += ../../common/pzsdr1/pzsdr1_system_bd.tcl M_DEPS += ../../common/pzsdr1/pzsdr1_lvds_system_constr.xdc M_DEPS += ../../common/pzsdr/pzsdr_system_ps7.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr diff --git a/projects/pzsdr1/ccbrk_cmos/Makefile b/projects/pzsdr1/ccbrk_cmos/Makefile index faa2e256a..d8b08f86f 100644 --- a/projects/pzsdr1/ccbrk_cmos/Makefile +++ b/projects/pzsdr1/ccbrk_cmos/Makefile @@ -19,7 +19,7 @@ M_DEPS += ../../common/pzsdr1/pzsdr1_system_constr.xdc M_DEPS += ../../common/pzsdr1/pzsdr1_system_bd.tcl M_DEPS += ../../common/pzsdr1/pzsdr1_cmos_system_constr.xdc M_DEPS += ../../common/pzsdr/pzsdr_system_ps7.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr diff --git a/projects/usb_fx3/zc706/Makefile b/projects/usb_fx3/zc706/Makefile index 84b91e988..16c02f1aa 100644 --- a/projects/usb_fx3/zc706/Makefile +++ b/projects/usb_fx3/zc706/Makefile @@ -15,7 +15,7 @@ M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_bd.tcl -M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr