From 0b9631f1f7a19885ae9e443b364d4f2003880efe Mon Sep 17 00:00:00 2001 From: Laszlo Nagy Date: Fri, 12 Nov 2021 11:01:41 +0000 Subject: [PATCH] ad9081_fmca_ebz: versal: Rename nets --- projects/ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl | 4 ++-- projects/ad9081_fmca_ebz/common/versal_transceiver.tcl | 8 ++++---- 2 files changed, 6 insertions(+), 6 deletions(-) diff --git a/projects/ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl b/projects/ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl index 8440984a9..e55ac6eed 100644 --- a/projects/ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl +++ b/projects/ad9081_fmca_ebz/common/ad9081_fmca_ebz_bd.tcl @@ -308,8 +308,8 @@ if {$ADI_PHY_SEL == 1} { ad_connect gt_reset jesd204_phy/gtreset_in - ad_connect axi_mxfe_rx_jesd/rx_axi/device_reset jesd204_phy/reset_rx_datapath_in - ad_connect axi_mxfe_tx_jesd/tx_axi/device_reset jesd204_phy/reset_tx_datapath_in + ad_connect axi_mxfe_rx_jesd/rx_axi/device_reset jesd204_phy/reset_rx_pll_and_datapath_in + ad_connect axi_mxfe_tx_jesd/tx_axi/device_reset jesd204_phy/reset_tx_pll_and_datapath_in ad_connect $rx_link_clock /axi_mxfe_rx_jesd/link_clk ad_connect rx_device_clk /axi_mxfe_rx_jesd/device_clk diff --git a/projects/ad9081_fmca_ebz/common/versal_transceiver.tcl b/projects/ad9081_fmca_ebz/common/versal_transceiver.tcl index f78d26c60..b1934e79c 100644 --- a/projects/ad9081_fmca_ebz/common/versal_transceiver.tcl +++ b/projects/ad9081_fmca_ebz/common/versal_transceiver.tcl @@ -20,8 +20,8 @@ create_bd_pin -dir I ${ip_name}/GT_REFCLK -type clk create_bd_pin -dir I ${ip_name}/apb3clk -type clk create_bd_pin -dir I ${ip_name}/gtreset_in -create_bd_pin -dir I ${ip_name}/reset_rx_datapath_in -create_bd_pin -dir I ${ip_name}/reset_tx_datapath_in +create_bd_pin -dir I ${ip_name}/reset_rx_pll_and_datapath_in +create_bd_pin -dir I ${ip_name}/reset_tx_pll_and_datapath_in ad_ip_instance gt_bridge_ip ${ip_name}/gt_bridge_ip_0 @@ -236,8 +236,8 @@ for {set i 0} {$i < $num_quads} {incr i} { # Clocks and resets ad_connect ${ip_name}/apb3clk ${ip_name}/gt_bridge_ip_0/apb3clk ad_connect GND ${ip_name}/gt_bridge_ip_0/gtreset_in -ad_connect ${ip_name}/reset_rx_datapath_in ${ip_name}/gt_bridge_ip_0/reset_rx_pll_and_datapath_in -ad_connect ${ip_name}/reset_tx_datapath_in ${ip_name}/gt_bridge_ip_0/reset_tx_pll_and_datapath_in +ad_connect ${ip_name}/reset_rx_pll_and_datapath_in ${ip_name}/gt_bridge_ip_0/reset_rx_pll_and_datapath_in +ad_connect ${ip_name}/reset_tx_pll_and_datapath_in ${ip_name}/gt_bridge_ip_0/reset_tx_pll_and_datapath_in ad_ip_instance xlconcat ${ip_name}/xlconcat_0 [list \ NUM_PORTS $num_quads \