diff --git a/library/jesd204/axi_jesd204_common/jesd204_up_common.v b/library/jesd204/axi_jesd204_common/jesd204_up_common.v index 5915fc4b1..5c5d571d2 100644 --- a/library/jesd204/axi_jesd204_common/jesd204_up_common.v +++ b/library/jesd204/axi_jesd204_common/jesd204_up_common.v @@ -229,7 +229,6 @@ always @(*) begin /* 0x32-0x34 reserver for future use */ 12'h080: up_rdata <= up_cfg_lanes_disable; - 12'h081: up_rdata <= up_cfg_links_disable; /* 0x82-0x83 reserved for future lane disable bits (max 128 lanes) */ 12'h084: up_rdata <= { /* 24-31 */ 8'h00, /* Reserved for future extensions of octets_per_frame */ @@ -242,7 +241,6 @@ always @(*) begin /* 01 */ up_cfg_disable_char_replacement, /* Disable character replacement */ /* 00 */ up_cfg_disable_scrambler /* Disable scrambler */ }; - 12'h086: up_rdata <= up_cfg_links_disable; /* 0x87-0x8f reserved for future use */ @@ -297,9 +295,6 @@ always @(posedge up_clk) begin 12'h080: begin up_cfg_lanes_disable <= up_wdata[NUM_LANES-1:0]; end - 12'h081: begin - up_cfg_links_disable <= up_wdata[NUM_LINKS-1:0]; - end 12'h084: begin up_cfg_octets_per_frame <= up_wdata[23:16]; up_cfg_beats_per_multiframe <= up_wdata[9:DATA_PATH_WIDTH]; diff --git a/library/jesd204/axi_jesd204_tx/jesd204_up_tx.v b/library/jesd204/axi_jesd204_tx/jesd204_up_tx.v index d4416860c..41e4892b1 100644 --- a/library/jesd204/axi_jesd204_tx/jesd204_up_tx.v +++ b/library/jesd204/axi_jesd204_tx/jesd204_up_tx.v @@ -79,17 +79,14 @@ reg up_ctrl_manual_sync_request = 1'b0; wire [1:0] up_status_state; wire [NUM_LINKS-1:0] up_status_sync; -genvar j; -generate - for (j=0; j