diff --git a/library/jesd204/jesd204_common/Makefile b/library/jesd204/jesd204_common/Makefile index b79d99c46..305fb3a2e 100644 --- a/library/jesd204/jesd204_common/Makefile +++ b/library/jesd204/jesd204_common/Makefile @@ -5,10 +5,10 @@ LIBRARY_NAME := jesd204_common -GENERIC_DEPS += eof.v -GENERIC_DEPS += lmfc.v +GENERIC_DEPS += jesd204_eof_generator.v +GENERIC_DEPS += jesd204_lmfc.v +GENERIC_DEPS += jesd204_scrambler.v GENERIC_DEPS += pipeline_stage.v -GENERIC_DEPS += scrambler.v XILINX_DEPS += jesd204_common_ip.tcl diff --git a/library/jesd204/jesd204_common/jesd204_common_ip.tcl b/library/jesd204/jesd204_common/jesd204_common_ip.tcl index 7121b6322..dfa4778c8 100644 --- a/library/jesd204/jesd204_common/jesd204_common_ip.tcl +++ b/library/jesd204/jesd204_common/jesd204_common_ip.tcl @@ -48,9 +48,9 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create jesd204_common add_files -fileset [get_filesets sources_1] [list \ - "lmfc.v" \ - "scrambler.v" \ - "eof.v" \ + "jesd204_lmfc.v" \ + "jesd204_scrambler.v" \ + "jesd204_eof_generator.v" \ "pipeline_stage.v" \ ] diff --git a/library/jesd204/jesd204_common/eof.v b/library/jesd204/jesd204_common/jesd204_eof_generator.v similarity index 100% rename from library/jesd204/jesd204_common/eof.v rename to library/jesd204/jesd204_common/jesd204_eof_generator.v diff --git a/library/jesd204/jesd204_common/lmfc.v b/library/jesd204/jesd204_common/jesd204_lmfc.v similarity index 100% rename from library/jesd204/jesd204_common/lmfc.v rename to library/jesd204/jesd204_common/jesd204_lmfc.v diff --git a/library/jesd204/jesd204_common/scrambler.v b/library/jesd204/jesd204_common/jesd204_scrambler.v similarity index 100% rename from library/jesd204/jesd204_common/scrambler.v rename to library/jesd204/jesd204_common/jesd204_scrambler.v diff --git a/library/jesd204/jesd204_rx/Makefile b/library/jesd204/jesd204_rx/Makefile index c538dc009..d56f44bb3 100644 --- a/library/jesd204/jesd204_rx/Makefile +++ b/library/jesd204/jesd204_rx/Makefile @@ -7,12 +7,12 @@ LIBRARY_NAME := jesd204_rx GENERIC_DEPS += align_mux.v GENERIC_DEPS += elastic_buffer.v -GENERIC_DEPS += ilas_monitor.v -GENERIC_DEPS += lane_latency_monitor.v -GENERIC_DEPS += rx.v -GENERIC_DEPS += rx_cgs.v -GENERIC_DEPS += rx_ctrl.v -GENERIC_DEPS += rx_lane.v +GENERIC_DEPS += jesd204_ilas_monitor.v +GENERIC_DEPS += jesd204_lane_latency_monitor.v +GENERIC_DEPS += jesd204_rx.v +GENERIC_DEPS += jesd204_rx_cgs.v +GENERIC_DEPS += jesd204_rx_ctrl.v +GENERIC_DEPS += jesd204_rx_lane.v XILINX_DEPS += jesd204_rx_constr.xdc XILINX_DEPS += jesd204_rx_ip.tcl @@ -30,10 +30,10 @@ XILINX_LIB_DEPS += jesd204/jesd204_common XILINX_INTERFACE_DEPS += jesd204/interfaces -ALTERA_DEPS += ../jesd204_common/eof.v -ALTERA_DEPS += ../jesd204_common/lmfc.v +ALTERA_DEPS += ../jesd204_common/jesd204_eof_generator.v +ALTERA_DEPS += ../jesd204_common/jesd204_lmfc.v +ALTERA_DEPS += ../jesd204_common/jesd204_scrambler.v ALTERA_DEPS += ../jesd204_common/pipeline_stage.v -ALTERA_DEPS += ../jesd204_common/scrambler.v ALTERA_DEPS += jesd204_rx_constr.sdc ALTERA_DEPS += jesd204_rx_hw.tcl diff --git a/library/jesd204/jesd204_rx/ilas_monitor.v b/library/jesd204/jesd204_rx/jesd204_ilas_monitor.v similarity index 100% rename from library/jesd204/jesd204_rx/ilas_monitor.v rename to library/jesd204/jesd204_rx/jesd204_ilas_monitor.v diff --git a/library/jesd204/jesd204_rx/lane_latency_monitor.v b/library/jesd204/jesd204_rx/jesd204_lane_latency_monitor.v similarity index 100% rename from library/jesd204/jesd204_rx/lane_latency_monitor.v rename to library/jesd204/jesd204_rx/jesd204_lane_latency_monitor.v diff --git a/library/jesd204/jesd204_rx/rx.v b/library/jesd204/jesd204_rx/jesd204_rx.v similarity index 100% rename from library/jesd204/jesd204_rx/rx.v rename to library/jesd204/jesd204_rx/jesd204_rx.v diff --git a/library/jesd204/jesd204_rx/rx_cgs.v b/library/jesd204/jesd204_rx/jesd204_rx_cgs.v similarity index 100% rename from library/jesd204/jesd204_rx/rx_cgs.v rename to library/jesd204/jesd204_rx/jesd204_rx_cgs.v diff --git a/library/jesd204/jesd204_rx/rx_ctrl.v b/library/jesd204/jesd204_rx/jesd204_rx_ctrl.v similarity index 100% rename from library/jesd204/jesd204_rx/rx_ctrl.v rename to library/jesd204/jesd204_rx/jesd204_rx_ctrl.v diff --git a/library/jesd204/jesd204_rx/jesd204_rx_hw.tcl b/library/jesd204/jesd204_rx/jesd204_rx_hw.tcl index 93452e8f3..e4f30a74a 100644 --- a/library/jesd204/jesd204_rx/jesd204_rx_hw.tcl +++ b/library/jesd204/jesd204_rx/jesd204_rx_hw.tcl @@ -54,18 +54,18 @@ set_module_property INTERNAL true # files ad_ip_files jesd204_rx [list \ - rx.v \ + jesd204_rx.v \ align_mux.v \ elastic_buffer.v \ - ilas_monitor.v \ - lane_latency_monitor.v \ - rx_cgs.v \ - rx_ctrl.v \ - rx_lane.v \ + jesd204_ilas_monitor.v \ + jesd204_lane_latency_monitor.v \ + jesd204_rx_cgs.v \ + jesd204_rx_ctrl.v \ + jesd204_rx_lane.v \ jesd204_rx_constr.sdc \ - ../jesd204_common/eof.v \ - ../jesd204_common/lmfc.v \ - ../jesd204_common/scrambler.v \ + ../jesd204_common/jesd204_eof_generator.v \ + ../jesd204_common/jesd204_lmfc.v \ + ../jesd204_common/jesd204_scrambler.v \ ../jesd204_common/pipeline_stage.v \ ] diff --git a/library/jesd204/jesd204_rx/jesd204_rx_ip.tcl b/library/jesd204/jesd204_rx/jesd204_rx_ip.tcl index 459e2fb99..082d14575 100644 --- a/library/jesd204/jesd204_rx/jesd204_rx_ip.tcl +++ b/library/jesd204/jesd204_rx/jesd204_rx_ip.tcl @@ -47,15 +47,15 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create jesd204_rx adi_ip_files jesd204_rx [list \ - "rx_lane.v" \ - "rx_cgs.v" \ - "rx_ctrl.v" \ + "jesd204_rx_lane.v" \ + "jesd204_rx_cgs.v" \ + "jesd204_rx_ctrl.v" \ "elastic_buffer.v" \ - "ilas_monitor.v" \ + "jesd204_ilas_monitor.v" \ "align_mux.v" \ - "lane_latency_monitor.v" \ + "jesd204_lane_latency_monitor.v" \ "jesd204_rx_constr.xdc" \ - "rx.v" \ + "jesd204_rx.v" \ ] adi_ip_properties_lite jesd204_rx diff --git a/library/jesd204/jesd204_rx/rx_lane.v b/library/jesd204/jesd204_rx/jesd204_rx_lane.v similarity index 100% rename from library/jesd204/jesd204_rx/rx_lane.v rename to library/jesd204/jesd204_rx/jesd204_rx_lane.v diff --git a/library/jesd204/jesd204_rx_static_config/Makefile b/library/jesd204/jesd204_rx_static_config/Makefile index 1f4a4a313..533f297c2 100644 --- a/library/jesd204/jesd204_rx_static_config/Makefile +++ b/library/jesd204/jesd204_rx_static_config/Makefile @@ -5,7 +5,7 @@ LIBRARY_NAME := jesd204_rx_static_config -GENERIC_DEPS += rx_static_config.v +GENERIC_DEPS += jesd204_rx_static_config.v XILINX_DEPS += jesd204_rx_static_config_ip.tcl diff --git a/library/jesd204/jesd204_rx_static_config/rx_static_config.v b/library/jesd204/jesd204_rx_static_config/jesd204_rx_static_config.v similarity index 100% rename from library/jesd204/jesd204_rx_static_config/rx_static_config.v rename to library/jesd204/jesd204_rx_static_config/jesd204_rx_static_config.v diff --git a/library/jesd204/jesd204_rx_static_config/jesd204_rx_static_config_ip.tcl b/library/jesd204/jesd204_rx_static_config/jesd204_rx_static_config_ip.tcl index cf1a3860e..5d86e5896 100644 --- a/library/jesd204/jesd204_rx_static_config/jesd204_rx_static_config_ip.tcl +++ b/library/jesd204/jesd204_rx_static_config/jesd204_rx_static_config_ip.tcl @@ -47,7 +47,7 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create jesd204_rx_static_config adi_ip_files jesd204_rx_static_config [list \ - "rx_static_config.v" \ + "jesd204_rx_static_config.v" \ ] adi_ip_properties_lite jesd204_rx_static_config diff --git a/library/jesd204/jesd204_soft_pcs_rx/Makefile b/library/jesd204/jesd204_soft_pcs_rx/Makefile index 72c1634d1..3b6b850eb 100644 --- a/library/jesd204/jesd204_soft_pcs_rx/Makefile +++ b/library/jesd204/jesd204_soft_pcs_rx/Makefile @@ -5,9 +5,9 @@ LIBRARY_NAME := jesd204_soft_pcs_rx -GENERIC_DEPS += 8b10b_decoder.v +GENERIC_DEPS += jesd204_8b10b_decoder.v +GENERIC_DEPS += jesd204_pattern_align.v GENERIC_DEPS += jesd204_soft_pcs_rx.v -GENERIC_DEPS += pattern_align.v ALTERA_DEPS += jesd204_soft_pcs_rx_hw.tcl diff --git a/library/jesd204/jesd204_soft_pcs_rx/8b10b_decoder.v b/library/jesd204/jesd204_soft_pcs_rx/jesd204_8b10b_decoder.v similarity index 100% rename from library/jesd204/jesd204_soft_pcs_rx/8b10b_decoder.v rename to library/jesd204/jesd204_soft_pcs_rx/jesd204_8b10b_decoder.v diff --git a/library/jesd204/jesd204_soft_pcs_rx/pattern_align.v b/library/jesd204/jesd204_soft_pcs_rx/jesd204_pattern_align.v similarity index 100% rename from library/jesd204/jesd204_soft_pcs_rx/pattern_align.v rename to library/jesd204/jesd204_soft_pcs_rx/jesd204_pattern_align.v diff --git a/library/jesd204/jesd204_soft_pcs_rx/jesd204_soft_pcs_rx_hw.tcl b/library/jesd204/jesd204_soft_pcs_rx/jesd204_soft_pcs_rx_hw.tcl index 5f3f0898e..fd78bc82b 100644 --- a/library/jesd204/jesd204_soft_pcs_rx/jesd204_soft_pcs_rx_hw.tcl +++ b/library/jesd204/jesd204_soft_pcs_rx/jesd204_soft_pcs_rx_hw.tcl @@ -57,8 +57,8 @@ set_module_property INTERNAL true ad_ip_files jesd204_soft_pcs_rx [list \ jesd204_soft_pcs_rx.v \ - pattern_align.v \ - 8b10b_decoder.v \ + jesd204_pattern_align.v \ + jesd204_8b10b_decoder.v \ ] # clock diff --git a/library/jesd204/jesd204_soft_pcs_tx/Makefile b/library/jesd204/jesd204_soft_pcs_tx/Makefile index 8e71bde44..bc6581755 100644 --- a/library/jesd204/jesd204_soft_pcs_tx/Makefile +++ b/library/jesd204/jesd204_soft_pcs_tx/Makefile @@ -5,7 +5,7 @@ LIBRARY_NAME := jesd204_soft_pcs_tx -GENERIC_DEPS += 8b10b_encoder.v +GENERIC_DEPS += jesd204_8b10b_encoder.v GENERIC_DEPS += jesd204_soft_pcs_tx.v ALTERA_DEPS += jesd204_soft_pcs_tx_hw.tcl diff --git a/library/jesd204/jesd204_soft_pcs_tx/8b10b_encoder.v b/library/jesd204/jesd204_soft_pcs_tx/jesd204_8b10b_encoder.v similarity index 100% rename from library/jesd204/jesd204_soft_pcs_tx/8b10b_encoder.v rename to library/jesd204/jesd204_soft_pcs_tx/jesd204_8b10b_encoder.v diff --git a/library/jesd204/jesd204_soft_pcs_tx/jesd204_soft_pcs_tx_hw.tcl b/library/jesd204/jesd204_soft_pcs_tx/jesd204_soft_pcs_tx_hw.tcl index 305fa5298..6c7b98877 100644 --- a/library/jesd204/jesd204_soft_pcs_tx/jesd204_soft_pcs_tx_hw.tcl +++ b/library/jesd204/jesd204_soft_pcs_tx/jesd204_soft_pcs_tx_hw.tcl @@ -55,7 +55,7 @@ set_module_property INTERNAL true ad_ip_files jesd204_soft_pcs_tx [list \ jesd204_soft_pcs_tx.v \ - 8b10b_encoder.v \ + jesd204_8b10b_encoder.v \ ] # clock diff --git a/library/jesd204/jesd204_tx/Makefile b/library/jesd204/jesd204_tx/Makefile index 6757f5f29..d31802e2d 100644 --- a/library/jesd204/jesd204_tx/Makefile +++ b/library/jesd204/jesd204_tx/Makefile @@ -5,9 +5,9 @@ LIBRARY_NAME := jesd204_tx -GENERIC_DEPS += tx.v -GENERIC_DEPS += tx_ctrl.v -GENERIC_DEPS += tx_lane.v +GENERIC_DEPS += jesd204_tx.v +GENERIC_DEPS += jesd204_tx_ctrl.v +GENERIC_DEPS += jesd204_tx_lane.v XILINX_DEPS += jesd204_tx_constr.xdc XILINX_DEPS += jesd204_tx_ip.tcl @@ -29,9 +29,9 @@ XILINX_LIB_DEPS += util_cdc XILINX_INTERFACE_DEPS += jesd204/interfaces ALTERA_DEPS += ../../util_cdc/sync_bits.v -ALTERA_DEPS += ../jesd204_common/eof.v -ALTERA_DEPS += ../jesd204_common/lmfc.v -ALTERA_DEPS += ../jesd204_common/scrambler.v +ALTERA_DEPS += ../jesd204_common/jesd204_eof_generator.v +ALTERA_DEPS += ../jesd204_common/jesd204_lmfc.v +ALTERA_DEPS += ../jesd204_common/jesd204_scrambler.v ALTERA_DEPS += jesd204_tx_constr.sdc ALTERA_DEPS += jesd204_tx_hw.tcl diff --git a/library/jesd204/jesd204_tx/tx.v b/library/jesd204/jesd204_tx/jesd204_tx.v similarity index 100% rename from library/jesd204/jesd204_tx/tx.v rename to library/jesd204/jesd204_tx/jesd204_tx.v diff --git a/library/jesd204/jesd204_tx/tx_ctrl.v b/library/jesd204/jesd204_tx/jesd204_tx_ctrl.v similarity index 100% rename from library/jesd204/jesd204_tx/tx_ctrl.v rename to library/jesd204/jesd204_tx/jesd204_tx_ctrl.v diff --git a/library/jesd204/jesd204_tx/jesd204_tx_hw.tcl b/library/jesd204/jesd204_tx/jesd204_tx_hw.tcl index 2e1cdd1af..fd3bb14b9 100644 --- a/library/jesd204/jesd204_tx/jesd204_tx_hw.tcl +++ b/library/jesd204/jesd204_tx/jesd204_tx_hw.tcl @@ -54,13 +54,13 @@ set_module_property INTERNAL true # files ad_ip_files jesd204_tx [list \ - tx.v \ - tx_ctrl.v \ - tx_lane.v \ + jesd204_tx.v \ + jesd204_tx_ctrl.v \ + jesd204_tx_lane.v \ jesd204_tx_constr.sdc \ - ../jesd204_common/eof.v \ - ../jesd204_common/lmfc.v \ - ../jesd204_common/scrambler.v \ + ../jesd204_common/jesd204_eof_generator.v \ + ../jesd204_common/jesd204_lmfc.v \ + ../jesd204_common/jesd204_scrambler.v \ $ad_hdl_dir/library/util_cdc/sync_bits.v \ $ad_hdl_dir/library/util_cdc/util_cdc_constr.tcl \ ] diff --git a/library/jesd204/jesd204_tx/jesd204_tx_ip.tcl b/library/jesd204/jesd204_tx/jesd204_tx_ip.tcl index aa039370d..794d9f502 100644 --- a/library/jesd204/jesd204_tx/jesd204_tx_ip.tcl +++ b/library/jesd204/jesd204_tx/jesd204_tx_ip.tcl @@ -47,10 +47,10 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create jesd204_tx adi_ip_files jesd204_tx [list \ - "tx_lane.v" \ - "tx_ctrl.v" \ + "jesd204_tx_lane.v" \ + "jesd204_tx_ctrl.v" \ "jesd204_tx_constr.xdc" \ - "tx.v" + "jesd204_tx.v" ] adi_ip_properties_lite jesd204_tx diff --git a/library/jesd204/jesd204_tx/tx_lane.v b/library/jesd204/jesd204_tx/jesd204_tx_lane.v similarity index 100% rename from library/jesd204/jesd204_tx/tx_lane.v rename to library/jesd204/jesd204_tx/jesd204_tx_lane.v diff --git a/library/jesd204/jesd204_tx_static_config/Makefile b/library/jesd204/jesd204_tx_static_config/Makefile index 213ad8c58..22a575207 100644 --- a/library/jesd204/jesd204_tx_static_config/Makefile +++ b/library/jesd204/jesd204_tx_static_config/Makefile @@ -5,8 +5,8 @@ LIBRARY_NAME := jesd204_tx_static_config -GENERIC_DEPS += ilas_cfg_static.v -GENERIC_DEPS += tx_static_config.v +GENERIC_DEPS += jesd204_ilas_cfg_static.v +GENERIC_DEPS += jesd204_tx_static_config.v XILINX_DEPS += jesd204_tx_static_config_ip.tcl diff --git a/library/jesd204/jesd204_tx_static_config/ilas_cfg_static.v b/library/jesd204/jesd204_tx_static_config/jesd204_ilas_cfg_static.v similarity index 100% rename from library/jesd204/jesd204_tx_static_config/ilas_cfg_static.v rename to library/jesd204/jesd204_tx_static_config/jesd204_ilas_cfg_static.v diff --git a/library/jesd204/jesd204_tx_static_config/tx_static_config.v b/library/jesd204/jesd204_tx_static_config/jesd204_tx_static_config.v similarity index 100% rename from library/jesd204/jesd204_tx_static_config/tx_static_config.v rename to library/jesd204/jesd204_tx_static_config/jesd204_tx_static_config.v diff --git a/library/jesd204/jesd204_tx_static_config/jesd204_tx_static_config_ip.tcl b/library/jesd204/jesd204_tx_static_config/jesd204_tx_static_config_ip.tcl index dc385178f..5a552f8fb 100644 --- a/library/jesd204/jesd204_tx_static_config/jesd204_tx_static_config_ip.tcl +++ b/library/jesd204/jesd204_tx_static_config/jesd204_tx_static_config_ip.tcl @@ -47,8 +47,8 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create jesd204_tx_static_config adi_ip_files jesd204_tx_static_config [list \ - "tx_static_config.v" \ - "ilas_cfg_static.v" \ + "jesd204_tx_static_config.v" \ + "jesd204_ilas_cfg_static.v" \ ] adi_ip_properties_lite jesd204_tx_static_config