diff --git a/library/axi_jesd_gt/axi_jesd_gt_ip.tcl b/library/axi_jesd_gt/axi_jesd_gt_ip.tcl index 0de2fccba..0ece35965 100644 --- a/library/axi_jesd_gt/axi_jesd_gt_ip.tcl +++ b/library/axi_jesd_gt/axi_jesd_gt_ip.tcl @@ -13,12 +13,12 @@ adi_ip_files axi_jesd_gt [list \ "$ad_hdl_dir/library/common/up_axi.v" \ "$ad_hdl_dir/library/common/up_gt.v" \ "axi_jesd_gt.v" \ - "$ad_hdl_dir/library/common/ad_axi_ip_constr.xdc" ] + "axi_jesd_gt_constr.xdc" ] adi_ip_properties axi_jesd_gt adi_ip_constraints axi_jesd_gt [list \ - "$ad_hdl_dir/library/common/ad_axi_ip_constr.xdc" ] + "axi_jesd_gt_constr.xdc" ] set_property value m_axi:s_axi [ipx::get_bus_parameters ASSOCIATED_BUSIF \ -of_objects [ipx::get_bus_interfaces axi_signal_clock \