axi_jesd_gt: constraints

main
Rejeesh Kutty 2015-06-10 14:29:06 -04:00
parent e3e4af5c51
commit 04eb998ff1
1 changed files with 2 additions and 2 deletions

View File

@ -13,12 +13,12 @@ adi_ip_files axi_jesd_gt [list \
"$ad_hdl_dir/library/common/up_axi.v" \
"$ad_hdl_dir/library/common/up_gt.v" \
"axi_jesd_gt.v" \
"$ad_hdl_dir/library/common/ad_axi_ip_constr.xdc" ]
"axi_jesd_gt_constr.xdc" ]
adi_ip_properties axi_jesd_gt
adi_ip_constraints axi_jesd_gt [list \
"$ad_hdl_dir/library/common/ad_axi_ip_constr.xdc" ]
"axi_jesd_gt_constr.xdc" ]
set_property value m_axi:s_axi [ipx::get_bus_parameters ASSOCIATED_BUSIF \
-of_objects [ipx::get_bus_interfaces axi_signal_clock \