2015-05-11 09:09:09 +00:00
|
|
|
// ***************************************************************************
|
|
|
|
// ***************************************************************************
|
2017-05-17 08:44:52 +00:00
|
|
|
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
|
2015-05-11 09:09:09 +00:00
|
|
|
//
|
2017-05-17 08:44:52 +00:00
|
|
|
// Each core or library found in this collection may have its own licensing terms.
|
|
|
|
// The user should keep this in in mind while exploring these cores.
|
2015-05-11 09:09:09 +00:00
|
|
|
//
|
2017-05-17 08:44:52 +00:00
|
|
|
// Redistribution and use in source and binary forms,
|
|
|
|
// with or without modification of this file, are permitted under the terms of either
|
|
|
|
// (at the option of the user):
|
2015-05-11 09:09:09 +00:00
|
|
|
//
|
2017-05-17 08:44:52 +00:00
|
|
|
// 1. The GNU General Public License version 2 as published by the
|
|
|
|
// Free Software Foundation, which can be found in the top level directory, or at:
|
|
|
|
// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html
|
|
|
|
//
|
|
|
|
// OR
|
|
|
|
//
|
|
|
|
// 2. An ADI specific BSD license as noted in the top level directory, or on-line at:
|
|
|
|
// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE
|
2015-05-11 09:09:09 +00:00
|
|
|
//
|
|
|
|
// ***************************************************************************
|
|
|
|
// ***************************************************************************
|
|
|
|
|
|
|
|
`timescale 1ns/1ps
|
|
|
|
|
2017-04-13 08:45:54 +00:00
|
|
|
module ad_tdd_control#(
|
|
|
|
|
|
|
|
parameter integer TX_DATA_PATH_DELAY = 0,
|
|
|
|
parameter integer CONTROL_PATH_DELAY = 0) (
|
2015-05-11 09:09:09 +00:00
|
|
|
|
|
|
|
// clock and reset
|
|
|
|
|
2017-04-13 08:45:54 +00:00
|
|
|
input clk,
|
|
|
|
input rst,
|
2015-05-11 09:09:09 +00:00
|
|
|
|
|
|
|
// TDD timming signals
|
|
|
|
|
2017-04-13 08:45:54 +00:00
|
|
|
input tdd_enable,
|
|
|
|
input tdd_secondary,
|
|
|
|
input tdd_tx_only,
|
|
|
|
input tdd_rx_only,
|
|
|
|
input [ 7:0] tdd_burst_count,
|
|
|
|
input [23:0] tdd_counter_init,
|
|
|
|
input [23:0] tdd_frame_length,
|
|
|
|
input [23:0] tdd_vco_rx_on_1,
|
|
|
|
input [23:0] tdd_vco_rx_off_1,
|
|
|
|
input [23:0] tdd_vco_tx_on_1,
|
|
|
|
input [23:0] tdd_vco_tx_off_1,
|
|
|
|
input [23:0] tdd_rx_on_1,
|
|
|
|
input [23:0] tdd_rx_off_1,
|
|
|
|
input [23:0] tdd_rx_dp_on_1,
|
|
|
|
input [23:0] tdd_rx_dp_off_1,
|
|
|
|
input [23:0] tdd_tx_on_1,
|
|
|
|
input [23:0] tdd_tx_off_1,
|
|
|
|
input [23:0] tdd_tx_dp_on_1,
|
|
|
|
input [23:0] tdd_tx_dp_off_1,
|
|
|
|
input [23:0] tdd_vco_rx_on_2,
|
|
|
|
input [23:0] tdd_vco_rx_off_2,
|
|
|
|
input [23:0] tdd_vco_tx_on_2,
|
|
|
|
input [23:0] tdd_vco_tx_off_2,
|
|
|
|
input [23:0] tdd_rx_on_2,
|
|
|
|
input [23:0] tdd_rx_off_2,
|
|
|
|
input [23:0] tdd_rx_dp_on_2,
|
|
|
|
input [23:0] tdd_rx_dp_off_2,
|
|
|
|
input [23:0] tdd_tx_on_2,
|
|
|
|
input [23:0] tdd_tx_off_2,
|
|
|
|
input [23:0] tdd_tx_dp_on_2,
|
|
|
|
input [23:0] tdd_tx_dp_off_2,
|
|
|
|
input tdd_sync,
|
2015-05-11 09:09:09 +00:00
|
|
|
|
|
|
|
// TDD control signals
|
|
|
|
|
2017-04-13 08:45:54 +00:00
|
|
|
output reg tdd_tx_dp_en,
|
|
|
|
output reg tdd_rx_dp_en,
|
|
|
|
output reg tdd_rx_vco_en,
|
|
|
|
output reg tdd_tx_vco_en,
|
|
|
|
output reg tdd_rx_rf_en,
|
|
|
|
output reg tdd_tx_rf_en,
|
2015-05-11 09:09:09 +00:00
|
|
|
|
2017-04-13 08:45:54 +00:00
|
|
|
output [23:0] tdd_counter_status);
|
2015-05-11 09:09:09 +00:00
|
|
|
|
2015-09-09 09:24:26 +00:00
|
|
|
|
|
|
|
localparam ON = 1;
|
|
|
|
localparam OFF = 0;
|
2015-05-11 09:09:09 +00:00
|
|
|
|
|
|
|
// tdd control related
|
|
|
|
|
|
|
|
// tdd counter related
|
|
|
|
|
2015-05-21 10:39:48 +00:00
|
|
|
reg [23:0] tdd_counter = 24'h0;
|
2015-05-11 09:09:09 +00:00
|
|
|
reg [ 5:0] tdd_burst_counter = 6'h0;
|
|
|
|
|
2015-09-25 15:33:35 +00:00
|
|
|
reg tdd_cstate = OFF;
|
|
|
|
reg tdd_cstate_next = OFF;
|
2015-05-11 09:09:09 +00:00
|
|
|
|
2015-05-13 11:03:01 +00:00
|
|
|
reg counter_at_tdd_vco_rx_on_1 = 1'b0;
|
|
|
|
reg counter_at_tdd_vco_rx_off_1 = 1'b0;
|
|
|
|
reg counter_at_tdd_vco_tx_on_1 = 1'b0;
|
|
|
|
reg counter_at_tdd_vco_tx_off_1 = 1'b0;
|
|
|
|
reg counter_at_tdd_rx_on_1 = 1'b0;
|
|
|
|
reg counter_at_tdd_rx_off_1 = 1'b0;
|
2016-07-28 10:29:09 +00:00
|
|
|
reg counter_at_tdd_rx_dp_on_1 = 1'b0;
|
|
|
|
reg counter_at_tdd_rx_dp_off_1 = 1'b0;
|
2015-05-13 11:03:01 +00:00
|
|
|
reg counter_at_tdd_tx_on_1 = 1'b0;
|
|
|
|
reg counter_at_tdd_tx_off_1 = 1'b0;
|
|
|
|
reg counter_at_tdd_tx_dp_on_1 = 1'b0;
|
|
|
|
reg counter_at_tdd_tx_dp_off_1 = 1'b0;
|
|
|
|
reg counter_at_tdd_vco_rx_on_2 = 1'b0;
|
|
|
|
reg counter_at_tdd_vco_rx_off_2 = 1'b0;
|
|
|
|
reg counter_at_tdd_vco_tx_on_2 = 1'b0;
|
|
|
|
reg counter_at_tdd_vco_tx_off_2 = 1'b0;
|
|
|
|
reg counter_at_tdd_rx_on_2 = 1'b0;
|
|
|
|
reg counter_at_tdd_rx_off_2 = 1'b0;
|
2016-07-28 10:29:09 +00:00
|
|
|
reg counter_at_tdd_rx_dp_on_2 = 1'b0;
|
|
|
|
reg counter_at_tdd_rx_dp_off_2 = 1'b0;
|
2015-05-13 11:03:01 +00:00
|
|
|
reg counter_at_tdd_tx_on_2 = 1'b0;
|
|
|
|
reg counter_at_tdd_tx_off_2 = 1'b0;
|
|
|
|
reg counter_at_tdd_tx_dp_on_2 = 1'b0;
|
|
|
|
reg counter_at_tdd_tx_dp_off_2 = 1'b0;
|
|
|
|
|
2015-09-25 15:33:35 +00:00
|
|
|
reg tdd_last_burst = 1'b0;
|
|
|
|
|
|
|
|
reg tdd_sync_d1 = 1'b0;
|
|
|
|
reg tdd_sync_d2 = 1'b0;
|
|
|
|
reg tdd_sync_d3 = 1'b0;
|
|
|
|
|
2015-05-21 10:39:48 +00:00
|
|
|
// internal signals
|
2015-05-11 09:09:09 +00:00
|
|
|
|
2015-09-09 09:24:26 +00:00
|
|
|
wire [23:0] tdd_vco_rx_on_1_s;
|
|
|
|
wire [23:0] tdd_vco_rx_off_1_s;
|
|
|
|
wire [23:0] tdd_vco_tx_on_1_s;
|
|
|
|
wire [23:0] tdd_vco_tx_off_1_s;
|
|
|
|
wire [23:0] tdd_rx_on_1_s;
|
|
|
|
wire [23:0] tdd_rx_off_1_s;
|
|
|
|
wire [23:0] tdd_tx_on_1_s;
|
|
|
|
wire [23:0] tdd_tx_off_1_s;
|
2015-05-21 10:39:48 +00:00
|
|
|
wire [23:0] tdd_tx_dp_on_1_s;
|
|
|
|
wire [23:0] tdd_tx_dp_off_1_s;
|
2015-09-09 09:24:26 +00:00
|
|
|
|
|
|
|
wire [23:0] tdd_vco_rx_on_2_s;
|
|
|
|
wire [23:0] tdd_vco_rx_off_2_s;
|
|
|
|
wire [23:0] tdd_vco_tx_on_2_s;
|
|
|
|
wire [23:0] tdd_vco_tx_off_2_s;
|
|
|
|
wire [23:0] tdd_rx_on_2_s;
|
|
|
|
wire [23:0] tdd_rx_off_2_s;
|
|
|
|
wire [23:0] tdd_tx_on_2_s;
|
|
|
|
wire [23:0] tdd_tx_off_2_s;
|
|
|
|
wire [23:0] tdd_tx_dp_on_2_s;
|
2015-05-21 10:39:48 +00:00
|
|
|
wire [23:0] tdd_tx_dp_off_2_s;
|
2015-09-25 15:33:35 +00:00
|
|
|
wire tdd_endof_frame;
|
|
|
|
wire tdd_endof_burst;
|
2015-06-04 13:46:28 +00:00
|
|
|
wire tdd_txrx_only_en_s;
|
|
|
|
|
2015-05-13 11:03:01 +00:00
|
|
|
assign tdd_counter_status = tdd_counter;
|
2015-05-11 09:09:09 +00:00
|
|
|
|
2015-09-25 15:33:35 +00:00
|
|
|
// synchronization of tdd_sync
|
|
|
|
always @(posedge clk) begin
|
|
|
|
if (rst == 1'b1) begin
|
2015-11-11 09:06:19 +00:00
|
|
|
tdd_sync_d1 <= 1'b0;
|
|
|
|
tdd_sync_d2 <= 1'b0;
|
2015-11-24 13:15:53 +00:00
|
|
|
tdd_sync_d3 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
|
|
|
tdd_sync_d1 <= tdd_sync;
|
|
|
|
tdd_sync_d2 <= tdd_sync_d1;
|
2015-11-24 13:15:53 +00:00
|
|
|
tdd_sync_d3 <= tdd_sync_d2;
|
2015-09-25 15:33:35 +00:00
|
|
|
end
|
|
|
|
end
|
|
|
|
|
2015-05-11 09:09:09 +00:00
|
|
|
// ***************************************************************************
|
|
|
|
// tdd counter (state machine)
|
|
|
|
// ***************************************************************************
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
|
|
|
if (rst == 1'b1) begin
|
2015-09-25 15:33:35 +00:00
|
|
|
tdd_cstate <= OFF;
|
2015-05-11 09:09:09 +00:00
|
|
|
end else begin
|
2015-09-25 15:33:35 +00:00
|
|
|
tdd_cstate <= tdd_cstate_next;
|
|
|
|
end
|
|
|
|
end
|
2015-06-11 08:06:45 +00:00
|
|
|
|
2015-09-25 15:33:35 +00:00
|
|
|
always @* begin
|
|
|
|
tdd_cstate_next <= tdd_cstate;
|
2015-05-11 09:09:09 +00:00
|
|
|
|
2015-09-25 15:33:35 +00:00
|
|
|
case (tdd_cstate)
|
|
|
|
ON : begin
|
|
|
|
if ((tdd_enable == 1'b0) || (tdd_endof_burst == 1'b1)) begin
|
|
|
|
tdd_cstate_next <= OFF;
|
2015-05-13 11:03:01 +00:00
|
|
|
end
|
2015-09-25 15:33:35 +00:00
|
|
|
end
|
|
|
|
|
|
|
|
OFF : begin
|
2015-11-24 13:15:53 +00:00
|
|
|
if(tdd_enable == 1'b1) begin
|
|
|
|
tdd_cstate_next <= ((~tdd_sync_d3 & tdd_sync_d2) == 1'b1) ? ON : OFF;
|
2015-05-11 09:09:09 +00:00
|
|
|
end
|
|
|
|
end
|
2015-09-25 15:33:35 +00:00
|
|
|
endcase
|
|
|
|
end
|
|
|
|
|
|
|
|
assign tdd_endof_frame = (tdd_counter == tdd_frame_length) ? 1'b1 : 1'b0;
|
|
|
|
assign tdd_endof_burst = ((tdd_last_burst == 1'b1) && (tdd_counter == tdd_frame_length)) ? 1'b1 : 1'b0;
|
|
|
|
|
|
|
|
// tdd free running counter
|
|
|
|
always @(posedge clk) begin
|
|
|
|
if (rst == 1'b1) begin
|
|
|
|
tdd_counter <= tdd_counter_init;
|
|
|
|
end else begin
|
|
|
|
if (tdd_cstate == ON) begin
|
2015-11-24 13:15:53 +00:00
|
|
|
if ((~tdd_sync_d3 & tdd_sync_d2) == 1'b1) begin
|
2015-09-25 15:33:35 +00:00
|
|
|
tdd_counter <= 24'b0;
|
|
|
|
end else begin
|
|
|
|
tdd_counter <= (tdd_counter < tdd_frame_length) ? tdd_counter + 1 : 24'b0;
|
|
|
|
end
|
|
|
|
end else begin
|
|
|
|
tdd_counter <= tdd_counter_init;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
// tdd burst counter
|
|
|
|
always @(posedge clk) begin
|
2017-04-19 09:02:31 +00:00
|
|
|
if (tdd_cstate == ON) begin
|
|
|
|
tdd_burst_counter <= ((tdd_burst_counter > 0) && (tdd_endof_frame == 1'b1)) ? tdd_burst_counter - 1 : tdd_burst_counter;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2017-04-19 09:02:31 +00:00
|
|
|
tdd_burst_counter <= tdd_burst_count;
|
2015-05-11 09:09:09 +00:00
|
|
|
end
|
|
|
|
end
|
|
|
|
|
2015-11-24 13:13:18 +00:00
|
|
|
always @(posedge clk) begin
|
|
|
|
tdd_last_burst <= (tdd_burst_counter == 6'b1) ? 1'b1 : 1'b0;
|
|
|
|
end
|
|
|
|
|
2015-05-11 09:09:09 +00:00
|
|
|
// ***************************************************************************
|
|
|
|
// generate control signals
|
|
|
|
// ***************************************************************************
|
|
|
|
|
|
|
|
// start/stop rx vco
|
2015-05-13 11:03:01 +00:00
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_vco_rx_on_1 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if(tdd_counter == tdd_vco_rx_on_1_s) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_vco_rx_on_1 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_vco_rx_on_1 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_vco_rx_on_2 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_secondary == 1'b1) && (tdd_counter == tdd_vco_rx_on_2_s)) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_vco_rx_on_2 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_vco_rx_on_2 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_vco_rx_off_1 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if(tdd_counter == tdd_vco_rx_off_1_s) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_vco_rx_off_1 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_vco_rx_off_1 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_vco_rx_off_2 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_secondary == 1'b1) && (tdd_counter == tdd_vco_rx_off_2_s)) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_vco_rx_off_2 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_vco_rx_off_2 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
// start/stop tx vco
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_vco_tx_on_1 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if(tdd_counter == tdd_vco_tx_on_1_s) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_vco_tx_on_1 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_vco_tx_on_1 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_vco_tx_on_2 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_secondary == 1'b1) && (tdd_counter == tdd_vco_tx_on_2_s)) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_vco_tx_on_2 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_vco_tx_on_2 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_vco_tx_off_1 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if(tdd_counter == tdd_vco_tx_off_1_s) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_vco_tx_off_1 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_vco_tx_off_1 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_vco_tx_off_2 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_secondary == 1'b1) && (tdd_counter == tdd_vco_tx_off_2_s)) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_vco_tx_off_2 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_vco_tx_off_2 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
// start/stop rx rf path
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_rx_on_1 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if(tdd_counter == tdd_rx_on_1_s) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_rx_on_1 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_rx_on_1 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_rx_on_2 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_secondary == 1'b1) && (tdd_counter == tdd_rx_on_2_s)) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_rx_on_2 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_rx_on_2 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_rx_off_1 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if(tdd_counter == tdd_rx_off_1_s) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_rx_off_1 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_rx_off_1 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_rx_off_2 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_secondary == 1'b1) && (tdd_counter == tdd_rx_off_2_s)) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_rx_off_2 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_rx_off_2 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
// start/stop tx rf path
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_tx_on_1 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if(tdd_counter == tdd_tx_on_1_s) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_tx_on_1 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_tx_on_1 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_tx_on_2 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_secondary == 1'b1) && (tdd_counter == tdd_tx_on_2_s)) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_tx_on_2 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_tx_on_2 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_tx_off_1 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if(tdd_counter == tdd_tx_off_1_s) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_tx_off_1 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_tx_off_1 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_tx_off_2 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_secondary == 1'b1) && (tdd_counter == tdd_tx_off_2_s)) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_tx_off_2 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_tx_off_2 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
// start/stop tx data path
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_tx_dp_on_1 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if(tdd_counter == tdd_tx_dp_on_1_s) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_tx_dp_on_1 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_tx_dp_on_1 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_tx_dp_on_2 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_secondary == 1'b1) && (tdd_counter == tdd_tx_dp_on_2_s)) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_tx_dp_on_2 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_tx_dp_on_2 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_tx_dp_off_1 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if(tdd_counter == tdd_tx_dp_off_1_s) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_tx_dp_off_1 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_tx_dp_off_1 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_tx_dp_off_2 <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_secondary == 1'b1) && (tdd_counter == tdd_tx_dp_off_2_s)) begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_tx_dp_off_2 <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-05-13 11:03:01 +00:00
|
|
|
counter_at_tdd_tx_dp_off_2 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
2016-07-28 10:29:09 +00:00
|
|
|
// start/stop rx data path
|
|
|
|
always @(posedge clk) begin
|
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_rx_dp_on_1 <= 1'b0;
|
|
|
|
end else if(tdd_counter == tdd_rx_dp_on_1) begin
|
|
|
|
counter_at_tdd_rx_dp_on_1 <= 1'b1;
|
|
|
|
end else begin
|
|
|
|
counter_at_tdd_rx_dp_on_1 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_rx_dp_on_2 <= 1'b0;
|
|
|
|
end else if((tdd_secondary == 1'b1) && (tdd_counter == tdd_rx_dp_on_2)) begin
|
|
|
|
counter_at_tdd_rx_dp_on_2 <= 1'b1;
|
|
|
|
end else begin
|
|
|
|
counter_at_tdd_rx_dp_on_2 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_rx_dp_off_1 <= 1'b0;
|
|
|
|
end else if(tdd_counter == tdd_rx_dp_off_1) begin
|
|
|
|
counter_at_tdd_rx_dp_off_1 <= 1'b1;
|
|
|
|
end else begin
|
|
|
|
counter_at_tdd_rx_dp_off_1 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
|
|
|
if(rst == 1'b1) begin
|
|
|
|
counter_at_tdd_rx_dp_off_2 <= 1'b0;
|
|
|
|
end else if((tdd_secondary == 1'b1) && (tdd_counter == tdd_rx_dp_off_2)) begin
|
|
|
|
counter_at_tdd_rx_dp_off_2 <= 1'b1;
|
|
|
|
end else begin
|
|
|
|
counter_at_tdd_rx_dp_off_2 <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
2015-09-09 09:24:26 +00:00
|
|
|
// control-path delay compensation
|
|
|
|
|
|
|
|
ad_addsub #(
|
|
|
|
.A_DATA_WIDTH(24),
|
|
|
|
.B_DATA_VALUE(CONTROL_PATH_DELAY),
|
|
|
|
.ADD_OR_SUB_N(0)
|
|
|
|
) i_vco_rx_on_1_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_vco_rx_on_1),
|
|
|
|
.Amax(tdd_frame_length),
|
|
|
|
.out(tdd_vco_rx_on_1_s),
|
|
|
|
.CE(1'b1)
|
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
|
|
|
.A_DATA_WIDTH(24),
|
|
|
|
.B_DATA_VALUE(CONTROL_PATH_DELAY),
|
|
|
|
.ADD_OR_SUB_N(0)
|
|
|
|
) i_vco_rx_off_1_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_vco_rx_off_1),
|
|
|
|
.Amax(tdd_frame_length),
|
|
|
|
.out(tdd_vco_rx_off_1_s),
|
|
|
|
.CE(1'b1)
|
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
|
|
|
.A_DATA_WIDTH(24),
|
|
|
|
.B_DATA_VALUE(CONTROL_PATH_DELAY),
|
|
|
|
.ADD_OR_SUB_N(0)
|
|
|
|
) i_vco_tx_on_1_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_vco_tx_on_1),
|
|
|
|
.Amax(tdd_frame_length),
|
|
|
|
.out(tdd_vco_tx_on_1_s),
|
|
|
|
.CE(1'b1)
|
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
|
|
|
.A_DATA_WIDTH(24),
|
|
|
|
.B_DATA_VALUE(CONTROL_PATH_DELAY),
|
|
|
|
.ADD_OR_SUB_N(0)
|
|
|
|
) i_vco_tx_off_1_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_vco_tx_off_1),
|
|
|
|
.Amax(tdd_frame_length),
|
|
|
|
.out(tdd_vco_tx_off_1_s),
|
|
|
|
.CE(1'b1)
|
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
|
|
|
.A_DATA_WIDTH(24),
|
|
|
|
.B_DATA_VALUE(CONTROL_PATH_DELAY),
|
|
|
|
.ADD_OR_SUB_N(0)
|
|
|
|
) i_rx_on_1_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_rx_on_1),
|
|
|
|
.Amax(tdd_frame_length),
|
|
|
|
.out(tdd_rx_on_1_s),
|
|
|
|
.CE(1'b1)
|
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
|
|
|
.A_DATA_WIDTH(24),
|
|
|
|
.B_DATA_VALUE(CONTROL_PATH_DELAY),
|
|
|
|
.ADD_OR_SUB_N(0)
|
|
|
|
) i_rx_off_1_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_rx_off_1),
|
|
|
|
.Amax(tdd_frame_length),
|
|
|
|
.out(tdd_rx_off_1_s),
|
|
|
|
.CE(1'b1)
|
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
|
|
|
.A_DATA_WIDTH(24),
|
|
|
|
.B_DATA_VALUE(CONTROL_PATH_DELAY),
|
|
|
|
.ADD_OR_SUB_N(0)
|
|
|
|
) i_tx_on_1_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_tx_on_1),
|
|
|
|
.Amax(tdd_frame_length),
|
|
|
|
.out(tdd_tx_on_1_s),
|
|
|
|
.CE(1'b1)
|
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
|
|
|
.A_DATA_WIDTH(24),
|
|
|
|
.B_DATA_VALUE(CONTROL_PATH_DELAY),
|
|
|
|
.ADD_OR_SUB_N(0)
|
|
|
|
) i_tx_off_1_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_tx_off_1),
|
|
|
|
.Amax(tdd_frame_length),
|
|
|
|
.out(tdd_tx_off_1_s),
|
|
|
|
.CE(1'b1)
|
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
|
|
|
.A_DATA_WIDTH(24),
|
|
|
|
.B_DATA_VALUE(CONTROL_PATH_DELAY),
|
|
|
|
.ADD_OR_SUB_N(0)
|
|
|
|
) i_vco_rx_on_2_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_vco_rx_on_2),
|
|
|
|
.Amax(tdd_frame_length),
|
|
|
|
.out(tdd_vco_rx_on_2_s),
|
|
|
|
.CE(1'b1)
|
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
|
|
|
.A_DATA_WIDTH(24),
|
|
|
|
.B_DATA_VALUE(CONTROL_PATH_DELAY),
|
|
|
|
.ADD_OR_SUB_N(0)
|
|
|
|
) i_vco_rx_off_2_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_vco_rx_off_2),
|
|
|
|
.Amax(tdd_frame_length),
|
|
|
|
.out(tdd_vco_rx_off_2_s),
|
|
|
|
.CE(1'b1)
|
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
|
|
|
.A_DATA_WIDTH(24),
|
|
|
|
.B_DATA_VALUE(CONTROL_PATH_DELAY),
|
|
|
|
.ADD_OR_SUB_N(0)
|
|
|
|
) i_vco_tx_on_2_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_vco_tx_on_2),
|
|
|
|
.Amax(tdd_frame_length),
|
|
|
|
.out(tdd_vco_tx_on_2_s),
|
|
|
|
.CE(1'b1)
|
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
|
|
|
.A_DATA_WIDTH(24),
|
|
|
|
.B_DATA_VALUE(CONTROL_PATH_DELAY),
|
|
|
|
.ADD_OR_SUB_N(0)
|
|
|
|
) i_vco_tx_off_2_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_vco_tx_off_2),
|
|
|
|
.Amax(tdd_frame_length),
|
|
|
|
.out(tdd_vco_tx_off_2_s),
|
|
|
|
.CE(1'b1)
|
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
|
|
|
.A_DATA_WIDTH(24),
|
|
|
|
.B_DATA_VALUE(CONTROL_PATH_DELAY),
|
|
|
|
.ADD_OR_SUB_N(0)
|
|
|
|
) i_rx_on_2_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_rx_on_2),
|
|
|
|
.Amax(tdd_frame_length),
|
|
|
|
.out(tdd_rx_on_2_s),
|
|
|
|
.CE(1'b1)
|
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
|
|
|
.A_DATA_WIDTH(24),
|
|
|
|
.B_DATA_VALUE(CONTROL_PATH_DELAY),
|
|
|
|
.ADD_OR_SUB_N(0)
|
|
|
|
) i_rx_off_2_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_rx_off_2),
|
|
|
|
.Amax(tdd_frame_length),
|
|
|
|
.out(tdd_rx_off_2_s),
|
|
|
|
.CE(1'b1)
|
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
|
|
|
.A_DATA_WIDTH(24),
|
|
|
|
.B_DATA_VALUE(CONTROL_PATH_DELAY),
|
|
|
|
.ADD_OR_SUB_N(0)
|
|
|
|
) i_tx_on_2_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_tx_on_2),
|
|
|
|
.Amax(tdd_frame_length),
|
|
|
|
.out(tdd_tx_on_2_s),
|
|
|
|
.CE(1'b1)
|
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
|
|
|
.A_DATA_WIDTH(24),
|
|
|
|
.B_DATA_VALUE(CONTROL_PATH_DELAY),
|
|
|
|
.ADD_OR_SUB_N(0)
|
|
|
|
) i_tx_off_2_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_tx_off_2),
|
|
|
|
.Amax(tdd_frame_length),
|
|
|
|
.out(tdd_tx_off_2_s),
|
|
|
|
.CE(1'b1)
|
|
|
|
);
|
|
|
|
|
|
|
|
// internal data-path delay compensation
|
2015-05-13 11:03:01 +00:00
|
|
|
|
|
|
|
ad_addsub #(
|
2015-08-19 11:11:47 +00:00
|
|
|
.A_DATA_WIDTH(24),
|
2015-09-09 09:24:26 +00:00
|
|
|
.B_DATA_VALUE(TX_DATA_PATH_DELAY),
|
2015-08-19 11:11:47 +00:00
|
|
|
.ADD_OR_SUB_N(0)
|
2015-05-13 11:03:01 +00:00
|
|
|
) i_tx_dp_on_1_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_tx_dp_on_1),
|
2015-06-04 13:46:28 +00:00
|
|
|
.Amax(tdd_frame_length),
|
2015-05-13 11:03:01 +00:00
|
|
|
.out(tdd_tx_dp_on_1_s),
|
2015-09-09 09:24:26 +00:00
|
|
|
.CE(1'b1)
|
2015-05-13 11:03:01 +00:00
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
2015-08-19 11:11:47 +00:00
|
|
|
.A_DATA_WIDTH(24),
|
2015-09-09 09:24:26 +00:00
|
|
|
.B_DATA_VALUE(TX_DATA_PATH_DELAY),
|
2015-08-19 11:11:47 +00:00
|
|
|
.ADD_OR_SUB_N(0)
|
2015-05-13 11:03:01 +00:00
|
|
|
) i_tx_dp_on_2_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_tx_dp_on_2),
|
2015-06-04 13:46:28 +00:00
|
|
|
.Amax(tdd_frame_length),
|
2015-05-13 11:03:01 +00:00
|
|
|
.out(tdd_tx_dp_on_2_s),
|
2015-09-09 09:24:26 +00:00
|
|
|
.CE(1'b1)
|
2015-05-13 11:03:01 +00:00
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
2015-08-19 11:11:47 +00:00
|
|
|
.A_DATA_WIDTH(24),
|
2015-09-09 09:24:26 +00:00
|
|
|
.B_DATA_VALUE(TX_DATA_PATH_DELAY),
|
2015-08-19 11:11:47 +00:00
|
|
|
.ADD_OR_SUB_N(0)
|
2015-05-13 11:03:01 +00:00
|
|
|
) i_tx_dp_off_1_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_tx_dp_off_1),
|
2015-06-04 13:46:28 +00:00
|
|
|
.Amax(tdd_frame_length),
|
2015-05-13 11:03:01 +00:00
|
|
|
.out(tdd_tx_dp_off_1_s),
|
2015-09-09 09:24:26 +00:00
|
|
|
.CE(1'b1)
|
2015-05-13 11:03:01 +00:00
|
|
|
);
|
|
|
|
|
|
|
|
ad_addsub #(
|
2015-08-19 11:11:47 +00:00
|
|
|
.A_DATA_WIDTH(24),
|
2015-09-09 09:24:26 +00:00
|
|
|
.B_DATA_VALUE(TX_DATA_PATH_DELAY),
|
2015-08-19 11:11:47 +00:00
|
|
|
.ADD_OR_SUB_N(0)
|
2015-05-13 11:03:01 +00:00
|
|
|
) i_tx_dp_off_2_comp (
|
|
|
|
.clk(clk),
|
|
|
|
.A(tdd_tx_dp_off_2),
|
2015-06-04 13:46:28 +00:00
|
|
|
.Amax(tdd_frame_length),
|
2015-05-13 11:03:01 +00:00
|
|
|
.out(tdd_tx_dp_off_2_s),
|
2015-09-09 09:24:26 +00:00
|
|
|
.CE(1'b1)
|
2015-05-13 11:03:01 +00:00
|
|
|
);
|
|
|
|
|
|
|
|
// output logic
|
|
|
|
|
2015-06-04 13:46:28 +00:00
|
|
|
assign tdd_txrx_only_en_s = tdd_tx_only ^ tdd_rx_only;
|
|
|
|
|
2015-05-11 09:09:09 +00:00
|
|
|
always @(posedge clk) begin
|
2015-11-11 09:13:33 +00:00
|
|
|
if(rst == 1'b1) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_rx_vco_en <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_cstate == OFF) || (counter_at_tdd_vco_rx_off_1 == 1'b1) || (counter_at_tdd_vco_rx_off_2 == 1'b1)) begin
|
2015-05-11 09:09:09 +00:00
|
|
|
tdd_rx_vco_en <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_cstate == ON) && ((counter_at_tdd_vco_rx_on_1 == 1'b1) || (counter_at_tdd_vco_rx_on_2 == 1'b1))) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_rx_vco_en <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_cstate == ON) && (tdd_txrx_only_en_s == 1'b1)) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_rx_vco_en <= tdd_rx_only;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_rx_vco_en <= tdd_rx_vco_en;
|
|
|
|
end
|
2015-05-11 09:09:09 +00:00
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-11-11 09:13:33 +00:00
|
|
|
if(rst == 1'b1) begin
|
2015-05-11 09:09:09 +00:00
|
|
|
tdd_tx_vco_en <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_cstate == OFF) || (counter_at_tdd_vco_tx_off_1 == 1'b1) || (counter_at_tdd_vco_tx_off_2 == 1'b1)) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_tx_vco_en <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_cstate == ON) && ((counter_at_tdd_vco_tx_on_1 == 1'b1) || (counter_at_tdd_vco_tx_on_2 == 1'b1))) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_tx_vco_en <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_cstate == ON) && (tdd_txrx_only_en_s == 1'b1)) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_tx_vco_en <= tdd_tx_only;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_tx_vco_en <= tdd_tx_vco_en;
|
|
|
|
end
|
2015-05-11 09:09:09 +00:00
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-11-11 09:13:33 +00:00
|
|
|
if(rst == 1'b1) begin
|
2015-05-11 09:09:09 +00:00
|
|
|
tdd_rx_rf_en <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_cstate == OFF) || (counter_at_tdd_rx_off_1 == 1'b1) || (counter_at_tdd_rx_off_2 == 1'b1)) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_rx_rf_en <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_cstate == ON) && ((counter_at_tdd_rx_on_1 == 1'b1) || (counter_at_tdd_rx_on_2 == 1'b1))) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_rx_rf_en <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_cstate == ON) && (tdd_txrx_only_en_s == 1'b1)) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_rx_rf_en <= tdd_rx_only;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_rx_rf_en <= tdd_rx_rf_en;
|
|
|
|
end
|
2015-05-11 09:09:09 +00:00
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-11-11 09:13:33 +00:00
|
|
|
if(rst == 1'b1) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_tx_rf_en <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_cstate == OFF) || (counter_at_tdd_tx_off_1 == 1'b1) || (counter_at_tdd_tx_off_2 == 1'b1)) begin
|
2015-05-11 09:09:09 +00:00
|
|
|
tdd_tx_rf_en <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_cstate == ON) && ((counter_at_tdd_tx_on_1 == 1'b1) || (counter_at_tdd_tx_on_2 == 1'b1))) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_tx_rf_en <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_cstate == ON) && (tdd_txrx_only_en_s == 1'b1)) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_tx_rf_en <= tdd_tx_only;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_tx_rf_en <= tdd_tx_rf_en;
|
|
|
|
end
|
2015-05-11 09:09:09 +00:00
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2015-11-11 09:13:33 +00:00
|
|
|
if(rst == 1'b1) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_tx_dp_en <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_cstate == OFF) || (counter_at_tdd_tx_dp_off_1 == 1'b1) || (counter_at_tdd_tx_dp_off_2 == 1'b1)) begin
|
2015-05-11 09:09:09 +00:00
|
|
|
tdd_tx_dp_en <= 1'b0;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_cstate == ON) && ((counter_at_tdd_tx_dp_on_1 == 1'b1) || (counter_at_tdd_tx_dp_on_2 == 1'b1))) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_tx_dp_en <= 1'b1;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else if((tdd_cstate == ON) && (tdd_txrx_only_en_s == 1'b1)) begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_tx_dp_en <= tdd_tx_only;
|
2015-09-25 15:33:35 +00:00
|
|
|
end else begin
|
2015-06-11 08:06:45 +00:00
|
|
|
tdd_tx_dp_en <= tdd_tx_dp_en;
|
|
|
|
end
|
2015-05-11 09:09:09 +00:00
|
|
|
end
|
|
|
|
|
2016-07-28 10:29:09 +00:00
|
|
|
always @(posedge clk) begin
|
|
|
|
if(rst == 1'b1) begin
|
|
|
|
tdd_rx_dp_en <= 1'b0;
|
|
|
|
end else if((tdd_cstate == OFF) || (counter_at_tdd_rx_dp_off_1 == 1'b1) || (counter_at_tdd_rx_dp_off_2 == 1'b1)) begin
|
|
|
|
tdd_rx_dp_en <= 1'b0;
|
|
|
|
end else if((tdd_cstate == ON) && ((counter_at_tdd_rx_dp_on_1 == 1'b1) || (counter_at_tdd_rx_dp_on_2 == 1'b1))) begin
|
|
|
|
tdd_rx_dp_en <= 1'b1;
|
|
|
|
end else if((tdd_cstate == ON) && (tdd_txrx_only_en_s == 1'b1)) begin
|
|
|
|
tdd_rx_dp_en <= tdd_rx_only;
|
|
|
|
end else begin
|
|
|
|
tdd_rx_dp_en <= tdd_rx_dp_en;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
2015-05-11 09:09:09 +00:00
|
|
|
endmodule
|
|
|
|
|