pluto_hdl_adi/projects/adrv9009_zu11eg_som/system_constr.xdc

7 lines
320 B
Plaintext
Raw Normal View History

set_property -dict {PACKAGE_PIN AN21 IOSTANDARD LVCMOS18} [get_ports spi_clk]
set_property -dict {PACKAGE_PIN AP21 IOSTANDARD LVCMOS18} [get_ports spi_sdio]
set_property -dict {PACKAGE_PIN AR9 IOSTANDARD LVCMOS18} [get_ports spi_miso]
create_clock -name spi0_clk -period 40 [get_pins -hier */EMIOSPI0SCLKO]