pluto_hdl_adi/projects/daq3/a10gx/system_constr.sdc

15 lines
498 B
Plaintext
Raw Normal View History

2015-12-10 14:41:37 +00:00
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
create_clock -period "1.621 ns" -name rx_ref_clk [get_ports {rx_ref_clk}]
create_clock -period "1.621 ns" -name tx_ref_clk [get_ports {tx_ref_clk}]
2015-12-10 14:41:37 +00:00
derive_pll_clocks
derive_clock_uncertainty
2017-06-07 14:22:08 +00:00
set_false_path -from [get_registers *altera_reset_synchronizer:alt_rst_sync_uq1|altera_reset_synchronizer_int_chain_out*]
2016-05-27 12:37:26 +00:00
2017-10-06 07:45:33 +00:00
# flash interface
set_false_path -from * -to [get_ports {flash_resetn}]