pluto_hdl_adi/projects/fmcomms2/c5soc/system_constr.sdc

23 lines
811 B
Plaintext
Raw Normal View History

2014-07-01 17:09:38 +00:00
2014-07-02 18:56:00 +00:00
create_clock -period "20.000 ns" -name clk_50m [get_ports {sys_clk}]
2014-07-21 13:06:10 +00:00
create_clock -period "3.906 ns" -name clk_250m [get_ports {rx_clk_in}]
2014-07-02 18:56:00 +00:00
create_clock -period "10.000 ns" -name clk_100m [get_pins {i_system_bd|sys_hps|fpga_interfaces|clocks_resets|h2f_user0_clk}]
2014-07-01 17:09:38 +00:00
2014-07-21 13:06:10 +00:00
2014-07-01 17:09:38 +00:00
derive_pll_clocks
derive_clock_uncertainty
2014-07-21 13:06:10 +00:00
set clk_64m [get_clocks {i_system_bd|axi_ad9361|i_ad9361|i_dev_if|i_clk|i_gclk|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}]
set_false_path -from clk_250m -to $clk_64m
set_false_path -from $clk_64m -to clk_250m
2014-07-02 18:56:00 +00:00
set_false_path -from clk_50m -to clk_100m
2014-07-21 13:06:10 +00:00
set_false_path -from clk_50m -to $clk_64m
2014-07-02 18:56:00 +00:00
set_false_path -from clk_100m -to clk_50m
2014-07-21 13:06:10 +00:00
set_false_path -from clk_100m -to $clk_64m
set_false_path -from $clk_64m -to clk_50m
set_false_path -from $clk_64m -to clk_100m
2014-07-01 17:09:38 +00:00