pluto_hdl_adi/projects/adrv9371x/zc706/Makefile

93 lines
3.1 KiB
Makefile
Raw Normal View History

2016-05-20 16:35:45 +00:00
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
M_DEPS += ../common/adrv9371x_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
2017-03-20 20:05:18 +00:00
M_DEPS += ../../common/zc706/zc706_plddr3_dacfifo_bd.tcl
M_DEPS += ../../common/zc706/zc706_plddr3_constr.xdc
2016-08-08 10:47:16 +00:00
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
2016-05-20 16:35:45 +00:00
M_DEPS += ../../../library/axi_ad9371/axi_ad9371.xpr
2016-09-29 15:50:48 +00:00
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
2016-05-20 16:35:45 +00:00
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
2016-09-15 08:41:06 +00:00
M_DEPS += ../../../library/xilinx/axi_dacfifo/axi_dacfifo.xpr
2016-05-20 16:35:45 +00:00
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
2016-09-29 15:50:48 +00:00
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
2016-05-20 16:35:45 +00:00
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files
2016-05-20 16:35:45 +00:00
.PHONY: all lib clean clean-all
all: lib adrv9371x_zc706.sdk/system_top.hdf
clean:
rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_ad9371 clean
2016-09-29 15:50:48 +00:00
make -C ../../../library/xilinx/axi_adxcvr clean
2016-05-20 16:35:45 +00:00
make -C ../../../library/axi_clkgen clean
2016-09-15 08:41:06 +00:00
make -C ../../../library/xilinx/axi_dacfifo clean
2016-05-20 16:35:45 +00:00
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_spdif_tx clean
2016-09-29 15:50:48 +00:00
make -C ../../../library/xilinx/util_adxcvr clean
2016-05-20 16:35:45 +00:00
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_upack clean
adrv9371x_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
2016-05-20 16:35:45 +00:00
$(M_VIVADO) system_project.tcl >> adrv9371x_zc706_vivado.log 2>&1
lib:
make -C ../../../library/axi_ad9371
2016-09-29 15:50:48 +00:00
make -C ../../../library/xilinx/axi_adxcvr
2016-05-20 16:35:45 +00:00
make -C ../../../library/axi_clkgen
2016-09-15 08:41:06 +00:00
make -C ../../../library/xilinx/axi_dacfifo
2016-05-20 16:35:45 +00:00
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_spdif_tx
2016-09-29 15:50:48 +00:00
make -C ../../../library/xilinx/util_adxcvr
2016-05-20 16:35:45 +00:00
make -C ../../../library/util_bsplit
make -C ../../../library/util_cpack
make -C ../../../library/util_upack
####################################################################################
####################################################################################