pluto_hdl_adi/projects/daq2/a10gx/system_bd.qsys

3612 lines
176 KiB
Plaintext
Raw Normal View History

2015-05-11 14:17:07 +00:00
<?xml version="1.0" encoding="UTF-8"?>
<system name="$${FILENAME}">
<component
name="$${FILENAME}"
displayName="$${FILENAME}"
version="1.0"
description=""
tags=""
categories="System" />
<parameter name="bonusData"><![CDATA[bonusData
{
element $${FILENAME}
{
datum _originalDeviceFamily
{
2015-05-14 18:35:20 +00:00
value = "Arria 10";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
2015-05-14 18:35:20 +00:00
element axi_ad9144_core
2015-05-11 14:17:07 +00:00
{
datum _sortIndex
{
2015-05-20 18:24:49 +00:00
value = "19";
2015-05-11 14:17:07 +00:00
type = "int";
}
}
2015-05-14 18:35:20 +00:00
element axi_ad9144_core.s_axi
2015-05-11 14:17:07 +00:00
{
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2149580800";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
2015-05-14 18:35:20 +00:00
element axi_ad9144_dma
2015-05-11 14:17:07 +00:00
{
datum _sortIndex
{
2015-05-20 18:24:49 +00:00
value = "17";
2015-05-11 14:17:07 +00:00
type = "int";
}
datum sopceditor_expanded
{
2015-05-11 15:55:50 +00:00
value = "1";
2015-05-11 14:17:07 +00:00
type = "boolean";
}
}
2015-05-14 18:35:20 +00:00
element axi_ad9144_dma.s_axi
2015-05-11 14:17:07 +00:00
{
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2149711872";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
2015-05-14 18:35:20 +00:00
element axi_ad9680_core
2015-05-11 14:17:07 +00:00
{
datum _sortIndex
{
value = "16";
type = "int";
}
}
2015-05-14 18:35:20 +00:00
element axi_ad9680_core.s_axi
2015-05-11 14:17:07 +00:00
{
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2149646336";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
2015-05-14 18:35:20 +00:00
element axi_ad9680_dma
2015-05-11 14:17:07 +00:00
{
datum _sortIndex
{
2015-05-14 18:35:20 +00:00
value = "14";
2015-05-11 14:17:07 +00:00
type = "int";
}
datum sopceditor_expanded
{
2015-05-11 15:55:50 +00:00
value = "1";
2015-05-11 14:17:07 +00:00
type = "boolean";
}
}
2015-05-20 18:24:49 +00:00
element axi_ad9680_dma.if_fifo_wr_sync
{
datum _tags
{
value = "";
type = "String";
}
}
2015-05-14 18:35:20 +00:00
element axi_ad9680_dma.s_axi
2015-05-11 14:17:07 +00:00
{
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2149728256";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
element sys_clk
{
datum _sortIndex
{
value = "0";
type = "int";
}
}
element sys_cpu
{
datum _sortIndex
{
2015-05-14 18:35:20 +00:00
value = "1";
2015-05-11 14:17:07 +00:00
type = "int";
}
datum sopceditor_expanded
{
value = "1";
type = "boolean";
}
}
2015-05-11 15:55:50 +00:00
element sys_cpu.debug_mem_slave
{
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2149750784";
2015-05-11 15:55:50 +00:00
type = "String";
}
}
2015-05-11 14:17:07 +00:00
element sys_ddr3_cntrl
{
datum _sortIndex
{
2015-05-14 18:35:20 +00:00
value = "4";
2015-05-11 14:17:07 +00:00
type = "int";
}
}
2015-05-11 15:55:50 +00:00
element sys_ddr3_cntrl.ctrl_amm_avalon_slave_0
2015-05-11 14:17:07 +00:00
{
datum baseAddress
{
value = "0";
type = "String";
}
}
element sys_ethernet
{
datum _sortIndex
{
2015-05-14 18:35:20 +00:00
value = "5";
2015-05-11 14:17:07 +00:00
type = "int";
}
datum sopceditor_expanded
{
value = "1";
type = "boolean";
}
}
element sys_ethernet.control_port
{
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2149752832";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
element sys_ethernet_dma_rx
{
datum _sortIndex
{
2015-05-14 18:35:20 +00:00
value = "6";
2015-05-11 14:17:07 +00:00
type = "int";
}
}
element sys_ethernet_dma_rx.csr
{
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2149753984";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
element sys_ethernet_dma_rx.descriptor_slave
{
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2149753952";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
element sys_ethernet_dma_rx.response
{
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2149754080";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
element sys_ethernet_dma_tx
{
datum _sortIndex
{
2015-05-14 18:35:20 +00:00
value = "7";
2015-05-11 14:17:07 +00:00
type = "int";
}
}
element sys_ethernet_dma_tx.csr
{
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2149754016";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
element sys_ethernet_dma_tx.descriptor_slave
{
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2149753920";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
element sys_gpio
{
datum _sortIndex
{
value = "12";
type = "int";
}
2015-05-14 18:35:20 +00:00
}
element sys_gpio.s1
{
datum baseAddress
{
value = "2149754048";
type = "String";
}
}
element sys_gpio_bd
{
datum _sortIndex
{
value = "11";
type = "int";
}
2015-05-11 14:17:07 +00:00
datum sopceditor_expanded
{
2015-05-11 15:55:50 +00:00
value = "1";
2015-05-11 14:17:07 +00:00
type = "boolean";
}
}
2015-05-14 18:35:20 +00:00
element sys_gpio_bd.s1
2015-05-11 14:17:07 +00:00
{
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2149754064";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
element sys_id
{
datum _sortIndex
{
2015-05-14 18:35:20 +00:00
value = "10";
2015-05-11 14:17:07 +00:00
type = "int";
}
datum sopceditor_expanded
{
2015-05-11 15:55:50 +00:00
value = "1";
2015-05-11 14:17:07 +00:00
type = "boolean";
}
}
element sys_id.control_slave
{
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2149754088";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
element sys_int_mem
{
datum _sortIndex
{
2015-05-14 18:35:20 +00:00
value = "2";
2015-05-11 14:17:07 +00:00
type = "int";
}
datum sopceditor_expanded
{
value = "1";
type = "boolean";
}
}
element sys_int_mem.s1
{
datum _lockedAddress
{
value = "0";
type = "boolean";
}
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2147483648";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
element sys_int_mem.s2
{
datum _lockedAddress
{
value = "0";
type = "boolean";
}
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2147483648";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
2015-05-14 18:35:20 +00:00
element sys_spi
2015-05-11 14:17:07 +00:00
{
datum _sortIndex
{
2015-05-14 18:35:20 +00:00
value = "13";
2015-05-11 14:17:07 +00:00
type = "int";
}
datum sopceditor_expanded
{
2015-05-11 15:55:50 +00:00
value = "1";
2015-05-11 14:17:07 +00:00
type = "boolean";
}
}
2015-05-14 18:35:20 +00:00
element sys_spi.spi_control_port
2015-05-11 14:17:07 +00:00
{
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2149753856";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
2015-05-14 18:35:20 +00:00
element sys_tcm_mem
2015-05-11 14:17:07 +00:00
{
datum _sortIndex
{
2015-05-14 18:35:20 +00:00
value = "3";
2015-05-11 14:17:07 +00:00
type = "int";
}
}
2015-05-14 18:35:20 +00:00
element sys_tcm_mem.s1
2015-05-11 14:17:07 +00:00
{
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2149744640";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
2015-05-14 18:35:20 +00:00
element sys_tcm_mem.s2
2015-05-11 14:17:07 +00:00
{
2015-05-14 18:35:20 +00:00
datum baseAddress
2015-05-11 14:17:07 +00:00
{
2015-05-14 18:35:20 +00:00
value = "2149744640";
type = "String";
2015-05-11 14:17:07 +00:00
}
}
2015-05-14 18:35:20 +00:00
element sys_timer
2015-05-11 14:17:07 +00:00
{
datum _sortIndex
{
2015-05-14 18:35:20 +00:00
value = "9";
2015-05-11 14:17:07 +00:00
type = "int";
}
datum sopceditor_expanded
{
2015-05-11 15:55:50 +00:00
value = "1";
2015-05-11 14:17:07 +00:00
type = "boolean";
}
}
2015-05-14 18:35:20 +00:00
element sys_timer.s1
2015-05-11 14:17:07 +00:00
{
2015-05-14 18:35:20 +00:00
datum baseAddress
2015-05-11 14:17:07 +00:00
{
2015-05-14 18:35:20 +00:00
value = "2149753888";
type = "String";
2015-05-11 14:17:07 +00:00
}
}
2015-05-14 18:35:20 +00:00
element sys_uart
2015-05-11 14:17:07 +00:00
{
datum _sortIndex
{
2015-05-14 18:35:20 +00:00
value = "8";
2015-05-11 14:17:07 +00:00
type = "int";
}
datum sopceditor_expanded
{
2015-05-11 15:55:50 +00:00
value = "1";
2015-05-11 14:17:07 +00:00
type = "boolean";
}
}
2015-05-14 18:35:20 +00:00
element sys_uart.avalon_jtag_slave
2015-05-11 14:17:07 +00:00
{
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "2149754096";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
2015-05-14 18:35:20 +00:00
element sys_xcvr
2015-05-11 14:17:07 +00:00
{
datum _sortIndex
{
2015-05-20 18:24:49 +00:00
value = "20";
2015-05-11 14:17:07 +00:00
type = "int";
}
}
2015-05-14 18:35:20 +00:00
element sys_xcvr.jesd204_rx_avs
2015-05-11 14:17:07 +00:00
{
datum baseAddress
{
2015-05-14 18:35:20 +00:00
value = "0";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
2015-05-14 18:35:20 +00:00
element sys_xcvr_rstcntrl
2015-05-11 14:17:07 +00:00
{
2015-05-14 18:35:20 +00:00
datum _sortIndex
2015-05-11 14:17:07 +00:00
{
2015-05-20 18:24:49 +00:00
value = "21";
2015-05-14 18:35:20 +00:00
type = "int";
2015-05-11 14:17:07 +00:00
}
}
2015-05-14 18:35:20 +00:00
element sys_xcvr_rx_clk
2015-05-11 14:17:07 +00:00
{
datum _sortIndex
{
2015-05-20 18:24:49 +00:00
value = "22";
2015-05-11 14:17:07 +00:00
type = "int";
}
2015-05-14 18:35:20 +00:00
}
element sys_xcvr_rx_ref_clk
{
datum _sortIndex
2015-05-11 14:17:07 +00:00
{
2015-05-20 18:24:49 +00:00
value = "23";
2015-05-14 18:35:20 +00:00
type = "int";
2015-05-11 14:17:07 +00:00
}
}
2015-05-14 18:35:20 +00:00
element sys_xcvr_tx_clk
2015-05-11 14:17:07 +00:00
{
2015-05-14 18:35:20 +00:00
datum _sortIndex
2015-05-11 14:17:07 +00:00
{
2015-05-20 18:24:49 +00:00
value = "24";
2015-05-14 18:35:20 +00:00
type = "int";
2015-05-11 14:17:07 +00:00
}
}
2015-05-14 18:35:20 +00:00
element sys_xcvr_tx_pll
2015-05-11 14:17:07 +00:00
{
datum _sortIndex
{
2015-05-20 18:24:49 +00:00
value = "25";
2015-05-11 14:17:07 +00:00
type = "int";
}
2015-05-14 18:35:20 +00:00
}
element sys_xcvr_tx_ref_clk
{
datum _sortIndex
2015-05-11 14:17:07 +00:00
{
2015-05-20 18:24:49 +00:00
value = "26";
2015-05-14 18:35:20 +00:00
type = "int";
2015-05-11 14:17:07 +00:00
}
}
2015-05-14 18:35:20 +00:00
element system_bd
2015-05-11 14:17:07 +00:00
{
2015-05-14 18:35:20 +00:00
datum _originalDeviceFamily
2015-05-11 14:17:07 +00:00
{
2015-05-14 18:35:20 +00:00
value = "Arria 10";
2015-05-11 14:17:07 +00:00
type = "String";
}
}
2015-05-20 18:24:49 +00:00
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element util_cpack_0
{
datum _sortIndex
{
value = "15";
type = "int";
}
}
element util_cpack_0.if_adc_rst
{
datum _tags
{
value = "";
type = "String";
}
}
element util_cpack_0.if_adc_sync
{
datum _tags
{
value = "";
type = "String";
}
}
element util_upack_0
{
datum _sortIndex
{
value = "18";
type = "int";
}
}
2015-05-11 14:17:07 +00:00
}
]]></parameter>
<parameter name="clockCrossingAdapter" value="FIFO" />
2015-05-11 15:55:50 +00:00
<parameter name="device" value="10AX115S3F45I2SGE2" />
<parameter name="deviceFamily" value="Arria 10" />
<parameter name="deviceSpeedGrade" value="2" />
2015-05-11 14:17:07 +00:00
<parameter name="fabricMode" value="QSYS" />
<parameter name="generateLegacySim" value="false" />
<parameter name="generationId" value="0" />
<parameter name="globalResetBus" value="false" />
<parameter name="hdlLanguage" value="VERILOG" />
<parameter name="hideFromIPCatalog" value="false" />
2015-05-19 19:12:23 +00:00
<parameter name="lockedInterfaceDefinition" value="" />
2015-05-11 14:17:07 +00:00
<parameter name="maxAdditionalLatency" value="2" />
2015-05-11 15:55:50 +00:00
<parameter name="projectName" value="daq2_a10gx.qpf" />
2015-05-11 14:17:07 +00:00
<parameter name="sopcBorderPoints" value="false" />
<parameter name="systemHash" value="0" />
<parameter name="testBenchDutName" value="" />
<parameter name="timeStamp" value="0" />
<parameter name="useTestBenchNamingPattern" value="false" />
<instanceScript></instanceScript>
<interface
name="axi_dmac_1_fifo_wr_clock"
2015-05-14 18:35:20 +00:00
internal="axi_ad9144_dma.fifo_wr_clock" />
<interface name="axi_dmac_1_fifo_wr_if" internal="axi_ad9144_dma.fifo_wr_if" />
2015-05-11 14:17:07 +00:00
<interface name="sys_clk" internal="sys_clk.clk_in" type="clock" dir="end" />
<interface
2015-05-11 15:55:50 +00:00
name="sys_ddr3_cntrl_mem_conduit_end"
internal="sys_ddr3_cntrl.mem_conduit_end"
2015-05-11 14:17:07 +00:00
type="conduit"
dir="end" />
<interface
2015-05-11 15:55:50 +00:00
name="sys_ddr3_cntrl_oct_conduit_end"
internal="sys_ddr3_cntrl.oct_conduit_end"
type="conduit"
dir="end" />
2015-05-14 18:35:20 +00:00
<interface
name="sys_ddr3_cntrl_ref_clk"
internal="sys_ddr3_cntrl.pll_ref_clk_clock_sink"
type="clock"
dir="end" />
2015-05-11 15:55:50 +00:00
<interface
name="sys_ddr3_cntrl_status_conduit_end"
internal="sys_ddr3_cntrl.status_conduit_end"
2015-05-11 14:17:07 +00:00
type="conduit"
dir="end" />
<interface
name="sys_ethernet_mdio"
internal="sys_ethernet.mac_mdio_connection"
type="conduit"
dir="end" />
<interface
2015-05-14 18:35:20 +00:00
name="sys_ethernet_ref_clk"
internal="sys_ethernet.pcs_ref_clk_clock_connection"
type="clock"
2015-05-11 14:17:07 +00:00
dir="end" />
2015-05-14 18:35:20 +00:00
<interface
name="sys_ethernet_rgmii"
internal="sys_ethernet.mac_rgmii_connection" />
2015-05-11 14:17:07 +00:00
<interface
name="sys_ethernet_rx_clk"
2015-05-14 18:35:20 +00:00
internal="sys_ethernet.pcs_mac_rx_clock_connection" />
2015-05-11 14:17:07 +00:00
<interface
2015-05-14 18:35:20 +00:00
name="sys_ethernet_sgmii"
internal="sys_ethernet.serial_connection"
2015-05-11 14:17:07 +00:00
type="conduit"
dir="end" />
2015-05-14 18:35:20 +00:00
<interface
name="sys_ethernet_status"
internal="sys_ethernet.mac_status_connection" />
2015-05-11 14:17:07 +00:00
<interface
name="sys_ethernet_tx_clk"
2015-05-14 18:35:20 +00:00
internal="sys_ethernet.pcs_mac_tx_clock_connection" />
2015-05-11 14:17:07 +00:00
<interface
name="sys_gpio"
internal="sys_gpio.external_connection"
type="conduit"
dir="end" />
<interface
2015-05-14 18:35:20 +00:00
name="sys_gpio_bd"
internal="sys_gpio_bd.external_connection"
2015-05-11 14:17:07 +00:00
type="conduit"
dir="end" />
<interface
2015-05-14 18:35:20 +00:00
name="sys_reset"
internal="sys_clk.clk_in_reset"
type="reset"
2015-05-11 14:17:07 +00:00
dir="end" />
2015-05-14 18:35:20 +00:00
<interface name="sys_spi" internal="sys_spi.external" type="conduit" dir="end" />
2015-05-11 14:17:07 +00:00
<interface
2015-05-14 18:35:20 +00:00
name="sys_xcvr_rx_ref_clk"
internal="sys_xcvr_rx_ref_clk.clk_in"
2015-05-11 14:17:07 +00:00
type="clock"
dir="end" />
<interface
2015-05-14 18:35:20 +00:00
name="sys_xcvr_rx_sof"
internal="sys_xcvr.rx_sof"
2015-05-11 14:17:07 +00:00
type="conduit"
dir="end" />
<interface
2015-05-14 18:35:20 +00:00
name="sys_xcvr_rx_sync_n"
internal="sys_xcvr.rx_dev_sync_n"
2015-05-11 14:17:07 +00:00
type="conduit"
dir="end" />
<interface
2015-05-14 18:35:20 +00:00
name="sys_xcvr_rx_sysref"
internal="sys_xcvr.rx_sysref"
2015-05-11 14:17:07 +00:00
type="conduit"
dir="end" />
<interface
2015-05-14 18:35:20 +00:00
name="sys_xcvr_rxd"
internal="sys_xcvr.rx_serial_data"
2015-05-11 14:17:07 +00:00
type="conduit"
dir="end" />
<interface
2015-05-14 18:35:20 +00:00
name="sys_xcvr_tx_ref_clk"
internal="sys_xcvr_tx_ref_clk.clk_in"
type="clock"
2015-05-11 14:17:07 +00:00
dir="end" />
<interface
2015-05-14 18:35:20 +00:00
name="sys_xcvr_tx_sync_n"
internal="sys_xcvr.sync_n"
2015-05-11 14:17:07 +00:00
type="conduit"
dir="end" />
<interface
2015-05-14 18:35:20 +00:00
name="sys_xcvr_tx_sysref"
internal="sys_xcvr.tx_sysref"
2015-05-11 14:17:07 +00:00
type="conduit"
dir="end" />
<interface
2015-05-14 18:35:20 +00:00
name="sys_xcvr_txd"
internal="sys_xcvr.tx_serial_data"
2015-05-11 14:17:07 +00:00
type="conduit"
dir="end" />
2015-05-14 18:35:20 +00:00
<module name="axi_ad9144_core" kind="axi_ad9144" version="1.0" enabled="1">
2015-05-11 14:17:07 +00:00
<parameter name="PCORE_ID" value="0" />
2015-05-14 18:35:20 +00:00
<parameter name="PCORE_QUAD_DUAL_N" value="0" />
2015-05-11 14:17:07 +00:00
</module>
2015-05-14 18:35:20 +00:00
<module name="axi_ad9144_dma" kind="axi_dmac" version="1.0" enabled="1">
2015-05-11 14:17:07 +00:00
<parameter name="C_2D_TRANSFER" value="1" />
<parameter name="C_AXI_SLICE_DEST" value="0" />
<parameter name="C_AXI_SLICE_SRC" value="0" />
<parameter name="C_CLKS_ASYNC_DEST_REQ" value="1" />
<parameter name="C_CLKS_ASYNC_REQ_SRC" value="1" />
<parameter name="C_CLKS_ASYNC_SRC_DEST" value="1" />
<parameter name="C_CYCLIC" value="1" />
2015-05-20 18:24:49 +00:00
<parameter name="C_DMA_DATA_WIDTH_DEST" value="128" />
<parameter name="C_DMA_DATA_WIDTH_SRC" value="128" />
2015-05-11 14:17:07 +00:00
<parameter name="C_DMA_LENGTH_WIDTH" value="14" />
2015-05-14 18:35:20 +00:00
<parameter name="C_DMA_TYPE_DEST" value="2" />
<parameter name="C_DMA_TYPE_SRC" value="0" />
2015-05-11 14:17:07 +00:00
<parameter name="C_SYNC_TRANSFER_START" value="0" />
2015-05-14 18:35:20 +00:00
<parameter name="PCORE_ID" value="1" />
</module>
<module name="axi_ad9680_core" kind="axi_ad9680" version="1.0" enabled="1">
2015-05-11 14:17:07 +00:00
<parameter name="PCORE_ID" value="0" />
</module>
2015-05-14 18:35:20 +00:00
<module name="axi_ad9680_dma" kind="axi_dmac" version="1.0" enabled="1">
2015-05-11 14:17:07 +00:00
<parameter name="C_2D_TRANSFER" value="1" />
<parameter name="C_AXI_SLICE_DEST" value="0" />
<parameter name="C_AXI_SLICE_SRC" value="0" />
<parameter name="C_CLKS_ASYNC_DEST_REQ" value="1" />
<parameter name="C_CLKS_ASYNC_REQ_SRC" value="1" />
<parameter name="C_CLKS_ASYNC_SRC_DEST" value="1" />
<parameter name="C_CYCLIC" value="1" />
2015-05-20 18:24:49 +00:00
<parameter name="C_DMA_DATA_WIDTH_DEST" value="128" />
<parameter name="C_DMA_DATA_WIDTH_SRC" value="128" />
2015-05-11 14:17:07 +00:00
<parameter name="C_DMA_LENGTH_WIDTH" value="14" />
<parameter name="C_DMA_TYPE_DEST" value="0" />
<parameter name="C_DMA_TYPE_SRC" value="2" />
<parameter name="C_SYNC_TRANSFER_START" value="0" />
2015-05-14 18:35:20 +00:00
<parameter name="PCORE_ID" value="0" />
2015-05-11 14:17:07 +00:00
</module>
2015-05-19 19:12:23 +00:00
<module name="sys_clk" kind="clock_source" version="15.0" enabled="1">
2015-05-14 18:35:20 +00:00
<parameter name="clockFrequency" value="100000000" />
2015-05-11 14:17:07 +00:00
<parameter name="clockFrequencyKnown" value="true" />
<parameter name="inputClockFrequency" value="0" />
<parameter name="resetSynchronousEdges" value="NONE" />
</module>
2015-05-19 19:12:23 +00:00
<module name="sys_cpu" kind="altera_nios2_gen2" version="15.0" enabled="1">
2015-05-14 18:35:20 +00:00
<parameter name="AUTO_CLK_CLOCK_DOMAIN" value="1" />
<parameter name="AUTO_CLK_RESET_DOMAIN" value="1" />
2015-05-11 15:55:50 +00:00
<parameter name="AUTO_DEVICE" value="10AX115S3F45I2SGE2" />
<parameter name="AUTO_DEVICE_SPEEDGRADE" value="2" />
2015-05-11 14:17:07 +00:00
<parameter name="bht_ramBlockType" value="Automatic" />
<parameter name="breakOffset" value="32" />
<parameter name="breakSlave">sys_cpu.jtag_debug_module</parameter>
<parameter name="cdx_enabled" value="false" />
<parameter name="clockFrequency" value="100000000" />
<parameter name="cpuArchRev" value="1" />
<parameter name="cpuID" value="0" />
<parameter name="cpuReset" value="false" />
<parameter name="customInstSlavesSystemInfo" value="&lt;info/&gt;" />
<parameter name="customInstSlavesSystemInfo_nios_a" value="&lt;info/&gt;" />
<parameter name="customInstSlavesSystemInfo_nios_b" value="&lt;info/&gt;" />
<parameter name="customInstSlavesSystemInfo_nios_c" value="&lt;info/&gt;" />
2015-05-14 18:35:20 +00:00
<parameter name="dataAddrWidth" value="32" />
2015-05-11 14:17:07 +00:00
<parameter name="dataMasterHighPerformanceAddrWidth" value="1" />
<parameter name="dataMasterHighPerformanceMapParam" value="" />
2015-05-14 18:35:20 +00:00
<parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='sys_ddr3_cntrl.ctrl_amm_avalon_slave_0' start='0x0' end='0x80000000' /><slave name='sys_int_mem.s1' start='0x80000000' end='0x80180000' /><slave name='axi_ad9144_core.s_axi' start='0x80200000' end='0x80210000' /><slave name='axi_ad9680_core.s_axi' start='0x80210000' end='0x80220000' /><slave name='axi_ad9144_dma.s_axi' start='0x80220000' end='0x80224000' /><slave name='axi_ad9680_dma.s_axi' start='0x80224000' end='0x80228000' /><slave name='sys_cpu.debug_mem_slave' start='0x80229800' end='0x8022A000' /><slave name='sys_ethernet.control_port' start='0x8022A000' end='0x8022A400' /><slave name='sys_spi.spi_control_port' start='0x8022A400' end='0x8022A420' /><slave name='sys_timer.s1' start='0x8022A420' end='0x8022A440' /><slave name='sys_ethernet_dma_tx.descriptor_slave' start='0x8022A440' end='0x8022A460' /><slave name='sys_ethernet_dma_rx.descriptor_slave' start='0x8022A460' end='0x8022A480' /><slave name='sys_ethernet_dma_rx.csr' start='0x8022A480' end='0x8022A4A0' /><slave name='sys_ethernet_dma_tx.csr' start='0x8022A4A0' end='0x8022A4C0' /><slave name='sys_gpio.s1' start='0x8022A4C0' end='0x8022A4D0' /><slave name='sys_gpio_bd.s1' start='0x8022A4D0' end='0x8022A4E0' /><slave name='sys_ethernet_dma_rx.response' start='0x8022A4E0' end='0x8022A4E8' /><slave name='sys_id.control_slave' start='0x8022A4E8' end='0x8022A4F0' /><slave name='sys_uart.avalon_jtag_slave' start='0x8022A4F0' end='0x8022A4F8' /></address-map>]]></parameter>
2015-05-11 14:17:07 +00:00
<parameter name="data_master_high_performance_paddr_base" value="0" />
<parameter name="data_master_high_performance_paddr_size" value="0" />
<parameter name="data_master_paddr_base" value="0" />
<parameter name="data_master_paddr_size" value="0" />
<parameter name="dcache_bursts" value="false" />
<parameter name="dcache_numTCDM" value="1" />
<parameter name="dcache_ramBlockType" value="Automatic" />
<parameter name="dcache_size" value="32768" />
<parameter name="dcache_tagramBlockType" value="Automatic" />
<parameter name="dcache_victim_buf_impl" value="ram" />
<parameter name="debug_OCIOnchipTrace" value="_128" />
<parameter name="debug_assignJtagInstanceID" value="false" />
<parameter name="debug_datatrigger" value="0" />
<parameter name="debug_debugReqSignals" value="false" />
<parameter name="debug_enabled" value="true" />
<parameter name="debug_hwbreakpoint" value="0" />
<parameter name="debug_jtagInstanceID" value="0" />
<parameter name="debug_traceStorage" value="onchip_trace" />
<parameter name="debug_traceType" value="none" />
<parameter name="debug_triggerArming" value="true" />
2015-05-11 15:55:50 +00:00
<parameter name="deviceFamilyName" value="Arria 10" />
2015-05-19 19:12:23 +00:00
<parameter name="deviceFeaturesSystemInfo">ADDRESS_STALL 0 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 0 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 0 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 0 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 0 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 0 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 0 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 1 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 0 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 1 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 0 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 0 HAS_MIN_TIMING_ANALYSIS_SUPPORT 0 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 1 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 1 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 1 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 0 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 0 HAS_SYNTHESIS_ON_ATOMS 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 H
2015-05-11 14:17:07 +00:00
<parameter name="dividerType" value="no_div" />
<parameter name="exceptionOffset" value="32" />
2015-05-11 15:55:50 +00:00
<parameter name="exceptionSlave">sys_ddr3_cntrl.ctrl_amm_avalon_slave_0</parameter>
2015-05-11 14:17:07 +00:00
<parameter name="faAddrWidth" value="1" />
<parameter name="faSlaveMapParam" value="" />
<parameter name="fa_cache_line" value="2" />
<parameter name="fa_cache_linesize" value="0" />
<parameter name="flash_instruction_master_paddr_base" value="0" />
<parameter name="flash_instruction_master_paddr_size" value="0" />
<parameter name="icache_burstType" value="None" />
<parameter name="icache_numTCIM" value="1" />
<parameter name="icache_ramBlockType" value="Automatic" />
<parameter name="icache_size" value="32768" />
<parameter name="icache_tagramBlockType" value="Automatic" />
<parameter name="impl" value="Fast" />
2015-05-14 18:35:20 +00:00
<parameter name="instAddrWidth" value="32" />
<parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='sys_ddr3_cntrl.ctrl_amm_avalon_slave_0' start='0x0' end='0x80000000' /><slave name='sys_int_mem.s2' start='0x80000000' end='0x80180000' /><slave name='sys_cpu.debug_mem_slave' start='0x80229800' end='0x8022A000' /></address-map>]]></parameter>
2015-05-11 14:17:07 +00:00
<parameter name="instructionMasterHighPerformanceAddrWidth" value="1" />
<parameter name="instructionMasterHighPerformanceMapParam" value="" />
<parameter name="instruction_master_high_performance_paddr_base" value="0" />
<parameter name="instruction_master_high_performance_paddr_size" value="0" />
<parameter name="instruction_master_paddr_base" value="0" />
<parameter name="instruction_master_paddr_size" value="0" />
2015-05-14 18:35:20 +00:00
<parameter name="internalIrqMaskSystemInfo" value="511" />
2015-05-11 14:17:07 +00:00
<parameter name="io_regionbase" value="0" />
<parameter name="io_regionsize" value="0" />
<parameter name="master_addr_map" value="false" />
<parameter name="mmu_TLBMissExcOffset" value="4096" />
<parameter name="mmu_TLBMissExcSlave" value="sys_int_mem.s2" />
<parameter name="mmu_autoAssignTlbPtrSz" value="false" />
2015-05-14 18:35:20 +00:00
<parameter name="mmu_enabled" value="false" />
2015-05-11 14:17:07 +00:00
<parameter name="mmu_processIDNumBits" value="8" />
<parameter name="mmu_ramBlockType" value="Automatic" />
<parameter name="mmu_tlbNumWays" value="16" />
<parameter name="mmu_tlbPtrSz" value="7" />
<parameter name="mmu_udtlbNumEntries" value="6" />
<parameter name="mmu_uitlbNumEntries" value="4" />
<parameter name="mpu_enabled" value="false" />
<parameter name="mpu_minDataRegionSize" value="12" />
<parameter name="mpu_minInstRegionSize" value="12" />
<parameter name="mpu_numOfDataRegion" value="8" />
<parameter name="mpu_numOfInstRegion" value="8" />
<parameter name="mpu_useLimit" value="false" />
<parameter name="mpx_enabled" value="false" />
<parameter name="mul_32_impl" value="3" />
<parameter name="mul_64_impl" value="0" />
<parameter name="mul_shift_choice" value="0" />
<parameter name="ocimem_ramBlockType" value="Automatic" />
<parameter name="ocimem_ramInit" value="false" />
<parameter name="regfile_ramBlockType" value="Automatic" />
<parameter name="resetOffset" value="0" />
2015-05-11 15:55:50 +00:00
<parameter name="resetSlave">sys_ddr3_cntrl.ctrl_amm_avalon_slave_0</parameter>
2015-05-11 14:17:07 +00:00
<parameter name="resetrequest_enabled" value="true" />
<parameter name="setting_HBreakTest" value="false" />
<parameter name="setting_HDLSimCachesCleared" value="true" />
<parameter name="setting_activateMonitors" value="true" />
<parameter name="setting_activateTestEndChecker" value="false" />
<parameter name="setting_activateTrace" value="true" />
<parameter name="setting_allow_break_inst" value="false" />
<parameter name="setting_alwaysEncrypt" value="true" />
<parameter name="setting_asic_add_scan_mode_input" value="false" />
<parameter name="setting_asic_enabled" value="false" />
<parameter name="setting_asic_synopsys_translate_on_off" value="false" />
<parameter name="setting_asic_third_party_synthesis" value="false" />
<parameter name="setting_avalonDebugPortPresent" value="false" />
<parameter name="setting_bhtPtrSz" value="8" />
<parameter name="setting_bigEndian" value="false" />
<parameter name="setting_branchpredictiontype" value="Dynamic" />
<parameter name="setting_breakslaveoveride" value="false" />
<parameter name="setting_clearXBitsLDNonBypass" value="true" />
<parameter name="setting_dc_ecc_present" value="false" />
<parameter name="setting_disable_tmr_inj" value="false" />
<parameter name="setting_disableocitrace" value="false" />
<parameter name="setting_dtcm_ecc_present" value="false" />
<parameter name="setting_ecc_present" value="false" />
<parameter name="setting_ecc_sim_test_ports" value="false" />
<parameter name="setting_exportHostDebugPort" value="false" />
<parameter name="setting_exportPCB" value="false" />
<parameter name="setting_export_large_RAMs" value="false" />
<parameter name="setting_exportdebuginfo" value="false" />
<parameter name="setting_exportvectors" value="false" />
<parameter name="setting_fast_register_read" value="false" />
<parameter name="setting_ic_ecc_present" value="true" />
<parameter name="setting_interruptControllerType" value="Internal" />
<parameter name="setting_itcm_ecc_present" value="false" />
<parameter name="setting_mmu_ecc_present" value="true" />
<parameter name="setting_oci_export_jtag_signals" value="false" />
<parameter name="setting_oci_version" value="1" />
<parameter name="setting_preciseIllegalMemAccessException" value="false" />
<parameter name="setting_removeRAMinit" value="false" />
<parameter name="setting_rf_ecc_present" value="true" />
<parameter name="setting_shadowRegisterSets" value="0" />
<parameter name="setting_showInternalSettings" value="false" />
<parameter name="setting_showUnpublishedSettings" value="false" />
2015-05-14 18:35:20 +00:00
<parameter name="setting_support31bitdcachebypass" value="false" />
2015-05-11 14:17:07 +00:00
<parameter name="setting_usedesignware" value="false" />
<parameter name="shift_rot_impl" value="0" />
2015-05-14 18:35:20 +00:00
<parameter name="tightlyCoupledDataMaster0AddrWidth" value="32" />
<parameter name="tightlyCoupledDataMaster0MapParam"><![CDATA[<address-map><slave name='sys_tcm_mem.s1' start='0x80228000' end='0x80229000' /></address-map>]]></parameter>
2015-05-11 14:17:07 +00:00
<parameter name="tightlyCoupledDataMaster1AddrWidth" value="1" />
<parameter name="tightlyCoupledDataMaster1MapParam" value="" />
<parameter name="tightlyCoupledDataMaster2AddrWidth" value="1" />
<parameter name="tightlyCoupledDataMaster2MapParam" value="" />
<parameter name="tightlyCoupledDataMaster3AddrWidth" value="1" />
<parameter name="tightlyCoupledDataMaster3MapParam" value="" />
2015-05-14 18:35:20 +00:00
<parameter name="tightlyCoupledInstructionMaster0AddrWidth" value="32" />
<parameter name="tightlyCoupledInstructionMaster0MapParam"><![CDATA[<address-map><slave name='sys_tcm_mem.s2' start='0x80228000' end='0x80229000' /></address-map>]]></parameter>
2015-05-11 14:17:07 +00:00
<parameter name="tightlyCoupledInstructionMaster1AddrWidth" value="1" />
<parameter name="tightlyCoupledInstructionMaster1MapParam" value="" />
<parameter name="tightlyCoupledInstructionMaster2AddrWidth" value="1" />
<parameter name="tightlyCoupledInstructionMaster2MapParam" value="" />
<parameter name="tightlyCoupledInstructionMaster3AddrWidth" value="1" />
<parameter name="tightlyCoupledInstructionMaster3MapParam" value="" />
<parameter name="tightly_coupled_data_master_0_paddr_base" value="0" />
<parameter name="tightly_coupled_data_master_0_paddr_size" value="0" />
<parameter name="tightly_coupled_data_master_1_paddr_base" value="0" />
<parameter name="tightly_coupled_data_master_1_paddr_size" value="0" />
<parameter name="tightly_coupled_data_master_2_paddr_base" value="0" />
<parameter name="tightly_coupled_data_master_2_paddr_size" value="0" />
<parameter name="tightly_coupled_data_master_3_paddr_base" value="0" />
<parameter name="tightly_coupled_data_master_3_paddr_size" value="0" />
<parameter name="tightly_coupled_instruction_master_0_paddr_base" value="0" />
<parameter name="tightly_coupled_instruction_master_0_paddr_size" value="0" />
<parameter name="tightly_coupled_instruction_master_1_paddr_base" value="0" />
<parameter name="tightly_coupled_instruction_master_1_paddr_size" value="0" />
<parameter name="tightly_coupled_instruction_master_2_paddr_base" value="0" />
<parameter name="tightly_coupled_instruction_master_2_paddr_size" value="0" />
<parameter name="tightly_coupled_instruction_master_3_paddr_base" value="0" />
<parameter name="tightly_coupled_instruction_master_3_paddr_size" value="0" />
<parameter name="tmr_enabled" value="false" />
<parameter name="tracefilename" value="" />
<parameter name="userDefinedSettings" value="" />
</module>
2015-05-19 19:12:23 +00:00
<module name="sys_ddr3_cntrl" kind="altera_emif" version="15.0" enabled="1">
2015-05-11 15:55:50 +00:00
<parameter name="BOARD_DDR3_AC_TO_CK_SKEW_NS" value="0.0" />
<parameter name="BOARD_DDR3_BRD_SKEW_WITHIN_AC_NS" value="0.02" />
<parameter name="BOARD_DDR3_BRD_SKEW_WITHIN_DQS_NS" value="0.02" />
<parameter name="BOARD_DDR3_DQS_TO_CK_SKEW_NS" value="0.02" />
<parameter name="BOARD_DDR3_IS_SKEW_WITHIN_AC_DESKEWED" value="true" />
<parameter name="BOARD_DDR3_IS_SKEW_WITHIN_DQS_DESKEWED" value="false" />
<parameter name="BOARD_DDR3_MAX_CK_DELAY_NS" value="0.6" />
<parameter name="BOARD_DDR3_MAX_DQS_DELAY_NS" value="0.6" />
<parameter name="BOARD_DDR3_PKG+BRD_SKEW_WITHIN_AC_NS" value="0.02" />
<parameter name="BOARD_DDR3_PKG+BRD_SKEW_WITHIN_DQS_NS" value="0.02" />
<parameter name="BOARD_DDR3_SKEW_BETWEEN_DIMMS_NS" value="0.05" />
<parameter name="BOARD_DDR3_SKEW_BETWEEN_DQS_NS" value="0.02" />
<parameter name="BOARD_DDR3_USER_AC_ISI_NS" value="0.0" />
<parameter name="BOARD_DDR3_USER_AC_SLEW_RATE" value="2.0" />
<parameter name="BOARD_DDR3_USER_CK_SLEW_RATE" value="4.0" />
<parameter name="BOARD_DDR3_USER_RCLK_ISI_NS" value="0.0" />
<parameter name="BOARD_DDR3_USER_RCLK_SLEW_RATE" value="4.0" />
<parameter name="BOARD_DDR3_USER_RDATA_ISI_NS" value="0.0" />
<parameter name="BOARD_DDR3_USER_RDATA_SLEW_RATE" value="2.5" />
<parameter name="BOARD_DDR3_USER_WCLK_ISI_NS" value="0.0" />
<parameter name="BOARD_DDR3_USER_WCLK_SLEW_RATE" value="4.0" />
<parameter name="BOARD_DDR3_USER_WDATA_ISI_NS" value="0.0" />
<parameter name="BOARD_DDR3_USER_WDATA_SLEW_RATE" value="2.0" />
<parameter name="BOARD_DDR3_USE_DEFAULT_ISI_VALUES" value="true" />
<parameter name="BOARD_DDR3_USE_DEFAULT_SLEW_RATES" value="true" />
<parameter name="BOARD_DDR4_AC_TO_CK_SKEW_NS" value="0.0" />
<parameter name="BOARD_DDR4_BRD_SKEW_WITHIN_AC_NS" value="0.02" />
<parameter name="BOARD_DDR4_BRD_SKEW_WITHIN_DQS_NS" value="0.02" />
<parameter name="BOARD_DDR4_DQS_TO_CK_SKEW_NS" value="0.02" />
<parameter name="BOARD_DDR4_IS_SKEW_WITHIN_AC_DESKEWED" value="false" />
<parameter name="BOARD_DDR4_IS_SKEW_WITHIN_DQS_DESKEWED" value="true" />
<parameter name="BOARD_DDR4_MAX_CK_DELAY_NS" value="0.6" />
<parameter name="BOARD_DDR4_MAX_DQS_DELAY_NS" value="0.6" />
<parameter name="BOARD_DDR4_PKG+BRD_SKEW_WITHIN_AC_NS" value="0.02" />
<parameter name="BOARD_DDR4_PKG+BRD_SKEW_WITHIN_DQS_NS" value="0.02" />
<parameter name="BOARD_DDR4_SKEW_BETWEEN_DIMMS_NS" value="0.05" />
<parameter name="BOARD_DDR4_SKEW_BETWEEN_DQS_NS" value="0.02" />
<parameter name="BOARD_DDR4_USER_AC_ISI_NS" value="0.0" />
<parameter name="BOARD_DDR4_USER_AC_SLEW_RATE" value="2.0" />
<parameter name="BOARD_DDR4_USER_CK_SLEW_RATE" value="4.0" />
<parameter name="BOARD_DDR4_USER_RCLK_ISI_NS" value="0.0" />
<parameter name="BOARD_DDR4_USER_RCLK_SLEW_RATE" value="4.0" />
<parameter name="BOARD_DDR4_USER_RDATA_ISI_NS" value="0.0" />
<parameter name="BOARD_DDR4_USER_RDATA_SLEW_RATE" value="4.0" />
<parameter name="BOARD_DDR4_USER_WCLK_ISI_NS" value="0.0" />
<parameter name="BOARD_DDR4_USER_WCLK_SLEW_RATE" value="4.0" />
<parameter name="BOARD_DDR4_USER_WDATA_ISI_NS" value="0.0" />
<parameter name="BOARD_DDR4_USER_WDATA_SLEW_RATE" value="2.0" />
<parameter name="BOARD_DDR4_USE_DEFAULT_ISI_VALUES" value="true" />
<parameter name="BOARD_DDR4_USE_DEFAULT_SLEW_RATES" value="true" />
<parameter name="BOARD_QDR2_AC_TO_K_SKEW_NS" value="0.0" />
<parameter name="BOARD_QDR2_BRD_SKEW_WITHIN_AC_NS" value="0.02" />
<parameter name="BOARD_QDR2_BRD_SKEW_WITHIN_D_NS" value="0.02" />
<parameter name="BOARD_QDR2_BRD_SKEW_WITHIN_Q_NS" value="0.02" />
<parameter name="BOARD_QDR2_IS_SKEW_WITHIN_AC_DESKEWED" value="true" />
<parameter name="BOARD_QDR2_IS_SKEW_WITHIN_D_DESKEWED" value="false" />
<parameter name="BOARD_QDR2_IS_SKEW_WITHIN_Q_DESKEWED" value="false" />
<parameter name="BOARD_QDR2_MAX_K_DELAY_NS" value="0.6" />
<parameter name="BOARD_QDR2_PKG+BRD_SKEW_WITHIN_AC_NS" value="0.02" />
<parameter name="BOARD_QDR2_PKG+BRD_SKEW_WITHIN_D_NS" value="0.02" />
<parameter name="BOARD_QDR2_PKG+BRD_SKEW_WITHIN_Q_NS" value="0.02" />
<parameter name="BOARD_QDR2_SKEW_BETWEEN_DIMMS_NS" value="0.05" />
<parameter name="BOARD_QDR2_USER_AC_ISI_NS" value="0.0" />
<parameter name="BOARD_QDR2_USER_AC_SLEW_RATE" value="2.0" />
<parameter name="BOARD_QDR2_USER_K_SLEW_RATE" value="4.0" />
<parameter name="BOARD_QDR2_USER_RCLK_ISI_NS" value="0.0" />
<parameter name="BOARD_QDR2_USER_RCLK_SLEW_RATE" value="2.0" />
<parameter name="BOARD_QDR2_USER_RDATA_ISI_NS" value="0.0" />
<parameter name="BOARD_QDR2_USER_RDATA_SLEW_RATE" value="2.0" />
<parameter name="BOARD_QDR2_USER_WCLK_ISI_NS" value="0.0" />
<parameter name="BOARD_QDR2_USER_WDATA_ISI_NS" value="0.0" />
<parameter name="BOARD_QDR2_USER_WDATA_SLEW_RATE" value="2.0" />
<parameter name="BOARD_QDR2_USE_DEFAULT_ISI_VALUES" value="true" />
<parameter name="BOARD_QDR2_USE_DEFAULT_SLEW_RATES" value="true" />
<parameter name="BOARD_QDR4_AC_TO_CK_SKEW_NS" value="0.0" />
<parameter name="BOARD_QDR4_BRD_SKEW_WITHIN_AC_NS" value="0.02" />
<parameter name="BOARD_QDR4_BRD_SKEW_WITHIN_QK_NS" value="0.02" />
<parameter name="BOARD_QDR4_DK_TO_CK_SKEW_NS" value="-0.02" />
<parameter name="BOARD_QDR4_IS_SKEW_WITHIN_AC_DESKEWED" value="true" />
<parameter name="BOARD_QDR4_IS_SKEW_WITHIN_QK_DESKEWED" value="false" />
<parameter name="BOARD_QDR4_MAX_CK_DELAY_NS" value="0.6" />
<parameter name="BOARD_QDR4_MAX_DK_DELAY_NS" value="0.6" />
<parameter name="BOARD_QDR4_PKG+BRD_SKEW_WITHIN_AC_NS" value="0.02" />
<parameter name="BOARD_QDR4_PKG+BRD_SKEW_WITHIN_QK_NS" value="0.02" />
<parameter name="BOARD_QDR4_SKEW_BETWEEN_DIMMS_NS" value="0.05" />
<parameter name="BOARD_QDR4_SKEW_BETWEEN_DK_NS" value="0.02" />
<parameter name="BOARD_QDR4_USER_AC_ISI_NS" value="0.0" />
<parameter name="BOARD_QDR4_USER_AC_SLEW_RATE" value="2.0" />
<parameter name="BOARD_QDR4_USER_CK_SLEW_RATE" value="4.0" />
<parameter name="BOARD_QDR4_USER_RCLK_ISI_NS" value="0.0" />
<parameter name="BOARD_QDR4_USER_RCLK_SLEW_RATE" value="4.0" />
<parameter name="BOARD_QDR4_USER_RDATA_ISI_NS" value="0.0" />
<parameter name="BOARD_QDR4_USER_RDATA_SLEW_RATE" value="3.5" />
<parameter name="BOARD_QDR4_USER_WCLK_ISI_NS" value="0.0" />
<parameter name="BOARD_QDR4_USER_WCLK_SLEW_RATE" value="4.0" />
<parameter name="BOARD_QDR4_USER_WDATA_ISI_NS" value="0.0" />
<parameter name="BOARD_QDR4_USER_WDATA_SLEW_RATE" value="2.0" />
<parameter name="BOARD_QDR4_USE_DEFAULT_ISI_VALUES" value="true" />
<parameter name="BOARD_QDR4_USE_DEFAULT_SLEW_RATES" value="true" />
<parameter name="BOARD_RLD3_AC_TO_CK_SKEW_NS" value="0.0" />
<parameter name="BOARD_RLD3_BRD_SKEW_WITHIN_AC_NS" value="0.02" />
<parameter name="BOARD_RLD3_BRD_SKEW_WITHIN_QK_NS" value="0.02" />
<parameter name="BOARD_RLD3_DK_TO_CK_SKEW_NS" value="-0.02" />
<parameter name="BOARD_RLD3_IS_SKEW_WITHIN_AC_DESKEWED" value="true" />
<parameter name="BOARD_RLD3_IS_SKEW_WITHIN_QK_DESKEWED" value="false" />
<parameter name="BOARD_RLD3_MAX_CK_DELAY_NS" value="0.6" />
<parameter name="BOARD_RLD3_MAX_DK_DELAY_NS" value="0.6" />
<parameter name="BOARD_RLD3_PKG+BRD_SKEW_WITHIN_AC_NS" value="0.02" />
<parameter name="BOARD_RLD3_PKG+BRD_SKEW_WITHIN_QK_NS" value="0.02" />
<parameter name="BOARD_RLD3_SKEW_BETWEEN_DIMMS_NS" value="0.05" />
<parameter name="BOARD_RLD3_SKEW_BETWEEN_DK_NS" value="0.02" />
<parameter name="BOARD_RLD3_USER_AC_ISI_NS" value="0.0" />
<parameter name="BOARD_RLD3_USER_AC_SLEW_RATE" value="2.0" />
<parameter name="BOARD_RLD3_USER_CK_SLEW_RATE" value="4.0" />
<parameter name="BOARD_RLD3_USER_RCLK_ISI_NS" value="0.0" />
<parameter name="BOARD_RLD3_USER_RCLK_SLEW_RATE" value="4.0" />
<parameter name="BOARD_RLD3_USER_RDATA_ISI_NS" value="0.0" />
<parameter name="BOARD_RLD3_USER_RDATA_SLEW_RATE" value="3.5" />
<parameter name="BOARD_RLD3_USER_WCLK_ISI_NS" value="0.0" />
<parameter name="BOARD_RLD3_USER_WCLK_SLEW_RATE" value="4.0" />
<parameter name="BOARD_RLD3_USER_WDATA_ISI_NS" value="0.0" />
<parameter name="BOARD_RLD3_USER_WDATA_SLEW_RATE" value="2.0" />
<parameter name="BOARD_RLD3_USE_DEFAULT_ISI_VALUES" value="true" />
<parameter name="BOARD_RLD3_USE_DEFAULT_SLEW_RATES" value="true" />
2015-05-19 19:12:23 +00:00
<parameter name="CAL_DEBUG_CLOCK_FREQUENCY" value="50000000" />
2015-05-11 15:55:50 +00:00
<parameter name="CTRL_DDR3_ADDR_ORDER_ENUM">DDR3_CTRL_ADDR_ORDER_CS_R_B_C</parameter>
<parameter name="CTRL_DDR3_AUTO_POWER_DOWN_CYCS" value="32" />
<parameter name="CTRL_DDR3_AUTO_POWER_DOWN_EN" value="false" />
<parameter name="CTRL_DDR3_AUTO_PRECHARGE_EN" value="false" />
<parameter name="CTRL_DDR3_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter>
<parameter name="CTRL_DDR3_ECC_AUTO_CORRECTION_EN" value="false" />
<parameter name="CTRL_DDR3_ECC_EN" value="false" />
<parameter name="CTRL_DDR3_MMR_EN" value="false" />
<parameter name="CTRL_DDR3_RD_TO_RD_DIFF_CHIP_DELTA_CYCS" value="0" />
<parameter name="CTRL_DDR3_RD_TO_WR_DIFF_CHIP_DELTA_CYCS" value="0" />
<parameter name="CTRL_DDR3_RD_TO_WR_SAME_CHIP_DELTA_CYCS" value="0" />
<parameter name="CTRL_DDR3_REORDER_EN" value="true" />
<parameter name="CTRL_DDR3_SELF_REFRESH_EN" value="false" />
<parameter name="CTRL_DDR3_STARVE_LIMIT" value="10" />
<parameter name="CTRL_DDR3_USER_PRIORITY_EN" value="false" />
<parameter name="CTRL_DDR3_USER_REFRESH_EN" value="false" />
<parameter name="CTRL_DDR3_WR_TO_RD_DIFF_CHIP_DELTA_CYCS" value="0" />
<parameter name="CTRL_DDR3_WR_TO_RD_SAME_CHIP_DELTA_CYCS" value="0" />
<parameter name="CTRL_DDR3_WR_TO_WR_DIFF_CHIP_DELTA_CYCS" value="0" />
<parameter name="CTRL_DDR4_ADDR_ORDER_ENUM">DDR4_CTRL_ADDR_ORDER_CS_R_B_C_BG</parameter>
<parameter name="CTRL_DDR4_AUTO_POWER_DOWN_CYCS" value="32" />
<parameter name="CTRL_DDR4_AUTO_POWER_DOWN_EN" value="false" />
<parameter name="CTRL_DDR4_AUTO_PRECHARGE_EN" value="false" />
<parameter name="CTRL_DDR4_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter>
<parameter name="CTRL_DDR4_ECC_AUTO_CORRECTION_EN" value="false" />
<parameter name="CTRL_DDR4_ECC_EN" value="false" />
<parameter name="CTRL_DDR4_MMR_EN" value="false" />
<parameter name="CTRL_DDR4_RD_TO_RD_DIFF_CHIP_DELTA_CYCS" value="0" />
<parameter name="CTRL_DDR4_RD_TO_WR_DIFF_CHIP_DELTA_CYCS" value="0" />
<parameter name="CTRL_DDR4_RD_TO_WR_SAME_CHIP_DELTA_CYCS" value="0" />
<parameter name="CTRL_DDR4_REORDER_EN" value="true" />
<parameter name="CTRL_DDR4_SELF_REFRESH_EN" value="false" />
<parameter name="CTRL_DDR4_STARVE_LIMIT" value="10" />
<parameter name="CTRL_DDR4_USER_PRIORITY_EN" value="false" />
<parameter name="CTRL_DDR4_USER_REFRESH_EN" value="false" />
<parameter name="CTRL_DDR4_WR_TO_RD_DIFF_CHIP_DELTA_CYCS" value="0" />
<parameter name="CTRL_DDR4_WR_TO_RD_SAME_CHIP_DELTA_CYCS" value="0" />
<parameter name="CTRL_DDR4_WR_TO_WR_DIFF_CHIP_DELTA_CYCS" value="0" />
<parameter name="CTRL_QDR2_AVL_ENABLE_POWER_OF_TWO_BUS" value="false" />
<parameter name="CTRL_QDR2_AVL_MAX_BURST_COUNT" value="4" />
<parameter name="CTRL_QDR2_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter>
<parameter name="CTRL_QDR4_AVL_ENABLE_POWER_OF_TWO_BUS" value="false" />
<parameter name="CTRL_QDR4_AVL_MAX_BURST_COUNT" value="4" />
<parameter name="CTRL_QDR4_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter>
2015-05-19 19:12:23 +00:00
<parameter name="CTRL_QDR4_RAW_TURNAROUND_DELAY_CYC" value="3" />
<parameter name="CTRL_QDR4_WAR_TURNAROUND_DELAY_CYC" value="10" />
2015-05-11 15:55:50 +00:00
<parameter name="CTRL_RLD2_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter>
<parameter name="CTRL_RLD3_ADDR_ORDER_ENUM">RLD3_CTRL_ADDR_ORDER_CS_R_B_C</parameter>
<parameter name="CTRL_RLD3_AVL_PROTOCOL_ENUM">CTRL_AVL_PROTOCOL_MM</parameter>
<parameter name="DIAG_BOARD_DELAY_CONFIG_STR" value="" />
2015-05-19 19:12:23 +00:00
<parameter name="DIAG_DDR3_CA_LEVEL_EN" value="false" />
<parameter name="DIAG_DDR3_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter>
2015-05-11 15:55:50 +00:00
<parameter name="DIAG_DDR3_EXPORT_SEQ_AVALON_MASTER" value="true" />
<parameter name="DIAG_DDR3_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter>
<parameter name="DIAG_DDR3_EX_DESIGN_NUM_OF_SLAVES" value="1" />
<parameter name="DIAG_DDR3_INTERFACE_ID" value="0" />
<parameter name="DIAG_DDR3_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" />
2015-05-19 19:12:23 +00:00
<parameter name="DIAG_DDR3_TG_BE_PATTERN_LENGTH" value="8" />
<parameter name="DIAG_DDR3_TG_DATA_PATTERN_LENGTH" value="8" />
<parameter name="DIAG_DDR3_USE_TG_AVL_2" value="false" />
<parameter name="DIAG_DDR4_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter>
2015-05-11 15:55:50 +00:00
<parameter name="DIAG_DDR4_EXPORT_SEQ_AVALON_MASTER" value="true" />
<parameter name="DIAG_DDR4_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter>
<parameter name="DIAG_DDR4_EX_DESIGN_NUM_OF_SLAVES" value="1" />
<parameter name="DIAG_DDR4_INTERFACE_ID" value="0" />
<parameter name="DIAG_DDR4_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" />
<parameter name="DIAG_DDR4_SKIP_CA_DESKEW" value="false" />
<parameter name="DIAG_DDR4_SKIP_CA_LEVEL" value="false" />
<parameter name="DIAG_DDR4_SKIP_VREF_CAL" value="false" />
2015-05-19 19:12:23 +00:00
<parameter name="DIAG_DDR4_TG_BE_PATTERN_LENGTH" value="8" />
<parameter name="DIAG_DDR4_TG_DATA_PATTERN_LENGTH" value="8" />
<parameter name="DIAG_DDR4_USE_TG_AVL_2" value="false" />
2015-05-11 15:55:50 +00:00
<parameter name="DIAG_ECLIPSE_DEBUG" value="false" />
2015-05-19 19:12:23 +00:00
<parameter name="DIAG_ENABLE_HPS_EMIF_DEBUG" value="false" />
2015-05-11 15:55:50 +00:00
<parameter name="DIAG_ENABLE_JTAG_UART" value="false" />
2015-05-19 19:12:23 +00:00
<parameter name="DIAG_ENABLE_JTAG_UART_HEX" value="false" />
2015-05-11 15:55:50 +00:00
<parameter name="DIAG_EXPORT_VJI" value="false" />
<parameter name="DIAG_EXPOSE_DFT_SIGNALS" value="false" />
<parameter name="DIAG_EXTRA_CONFIGS" value="" />
<parameter name="DIAG_EX_DESIGN_ADD_TEST_EMIFS" value="" />
<parameter name="DIAG_FAST_SIM_OVERRIDE">FAST_SIM_OVERRIDE_DEFAULT</parameter>
2015-05-19 19:12:23 +00:00
<parameter name="DIAG_QDR2_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter>
2015-05-11 15:55:50 +00:00
<parameter name="DIAG_QDR2_EXPORT_SEQ_AVALON_MASTER" value="true" />
<parameter name="DIAG_QDR2_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter>
<parameter name="DIAG_QDR2_EX_DESIGN_NUM_OF_SLAVES" value="1" />
<parameter name="DIAG_QDR2_INTERFACE_ID" value="0" />
<parameter name="DIAG_QDR2_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" />
2015-05-19 19:12:23 +00:00
<parameter name="DIAG_QDR2_TG_BE_PATTERN_LENGTH" value="8" />
<parameter name="DIAG_QDR2_TG_DATA_PATTERN_LENGTH" value="8" />
<parameter name="DIAG_QDR2_USE_TG_AVL_2" value="false" />
<parameter name="DIAG_QDR4_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter>
2015-05-11 15:55:50 +00:00
<parameter name="DIAG_QDR4_EXPORT_SEQ_AVALON_MASTER" value="true" />
<parameter name="DIAG_QDR4_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter>
<parameter name="DIAG_QDR4_EX_DESIGN_NUM_OF_SLAVES" value="1" />
<parameter name="DIAG_QDR4_INTERFACE_ID" value="0" />
<parameter name="DIAG_QDR4_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" />
2015-05-19 19:12:23 +00:00
<parameter name="DIAG_QDR4_TG_BE_PATTERN_LENGTH" value="8" />
<parameter name="DIAG_QDR4_TG_DATA_PATTERN_LENGTH" value="8" />
<parameter name="DIAG_QDR4_USE_TG_AVL_2" value="false" />
<parameter name="DIAG_RLD2_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter>
2015-05-11 15:55:50 +00:00
<parameter name="DIAG_RLD2_EXPORT_SEQ_AVALON_MASTER" value="true" />
<parameter name="DIAG_RLD2_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter>
<parameter name="DIAG_RLD2_EX_DESIGN_NUM_OF_SLAVES" value="1" />
<parameter name="DIAG_RLD2_INTERFACE_ID" value="0" />
<parameter name="DIAG_RLD2_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" />
2015-05-19 19:12:23 +00:00
<parameter name="DIAG_RLD2_TG_BE_PATTERN_LENGTH" value="8" />
<parameter name="DIAG_RLD2_TG_DATA_PATTERN_LENGTH" value="8" />
<parameter name="DIAG_RLD2_USE_TG_AVL_2" value="false" />
<parameter name="DIAG_RLD3_EFFICIENCY_MONITOR">EFFMON_MODE_DISABLED</parameter>
2015-05-11 15:55:50 +00:00
<parameter name="DIAG_RLD3_EXPORT_SEQ_AVALON_MASTER" value="true" />
<parameter name="DIAG_RLD3_EXPORT_SEQ_AVALON_SLAVE">CAL_DEBUG_EXPORT_MODE_DISABLED</parameter>
<parameter name="DIAG_RLD3_EX_DESIGN_NUM_OF_SLAVES" value="1" />
<parameter name="DIAG_RLD3_INTERFACE_ID" value="0" />
<parameter name="DIAG_RLD3_SIM_CAL_MODE_ENUM" value="SIM_CAL_MODE_SKIP" />
2015-05-19 19:12:23 +00:00
<parameter name="DIAG_RLD3_TG_BE_PATTERN_LENGTH" value="8" />
<parameter name="DIAG_RLD3_TG_DATA_PATTERN_LENGTH" value="8" />
<parameter name="DIAG_RLD3_USE_TG_AVL_2" value="false" />
<parameter name="DIAG_SIM_REGTEST_MODE" value="false" />
2015-05-11 15:55:50 +00:00
<parameter name="DIAG_SYNTH_FOR_SIM" value="false" />
<parameter name="DIAG_TIMING_REGTEST_MODE" value="false" />
<parameter name="DIAG_USE_BOARD_DELAY_MODEL" value="false" />
<parameter name="DIAG_VERBOSE_IOAUX" value="false" />
<parameter name="INTERNAL_TESTING_MODE" value="false" />
<parameter name="IS_ED_SLAVE" value="false" />
<parameter name="MEM_DDR3_ALERT_N_DQS_GROUP" value="0" />
<parameter name="MEM_DDR3_ALERT_N_PLACEMENT_ENUM">DDR3_ALERT_N_PLACEMENT_AC_LANES</parameter>
<parameter name="MEM_DDR3_ASR_ENUM" value="DDR3_ASR_MANUAL" />
<parameter name="MEM_DDR3_ATCL_ENUM" value="DDR3_ATCL_DISABLED" />
<parameter name="MEM_DDR3_BANK_ADDR_WIDTH" value="3" />
<parameter name="MEM_DDR3_BL_ENUM" value="DDR3_BL_BL8" />
<parameter name="MEM_DDR3_BT_ENUM" value="DDR3_BT_SEQUENTIAL" />
<parameter name="MEM_DDR3_CKE_PER_DIMM" value="1" />
<parameter name="MEM_DDR3_CK_WIDTH" value="1" />
<parameter name="MEM_DDR3_COL_ADDR_WIDTH" value="11" />
<parameter name="MEM_DDR3_DISCRETE_CS_WIDTH" value="1" />
<parameter name="MEM_DDR3_DLL_EN" value="true" />
2015-05-14 18:35:20 +00:00
<parameter name="MEM_DDR3_DM_EN" value="true" />
<parameter name="MEM_DDR3_DQ_PER_DQS" value="8" />
<parameter name="MEM_DDR3_DQ_WIDTH" value="64" />
2015-05-11 15:55:50 +00:00
<parameter name="MEM_DDR3_DRV_STR_ENUM" value="DDR3_DRV_STR_RZQ_7" />
<parameter name="MEM_DDR3_FORMAT_ENUM" value="MEM_FORMAT_DISCRETE" />
<parameter name="MEM_DDR3_LRDIMM_EXTENDED_CONFIG" value="0x0" />
<parameter name="MEM_DDR3_MIRROR_ADDRESSING_EN" value="true" />
<parameter name="MEM_DDR3_NUM_OF_DIMMS" value="1" />
<parameter name="MEM_DDR3_PD_ENUM" value="DDR3_PD_OFF" />
<parameter name="MEM_DDR3_RANKS_PER_DIMM" value="1" />
<parameter name="MEM_DDR3_RDIMM_CONFIG" value="0" />
<parameter name="MEM_DDR3_ROW_ADDR_WIDTH" value="14" />
<parameter name="MEM_DDR3_RTT_NOM_ENUM">DDR3_RTT_NOM_ODT_DISABLED</parameter>
<parameter name="MEM_DDR3_RTT_WR_ENUM" value="DDR3_RTT_WR_RZQ_4" />
<parameter name="MEM_DDR3_R_ODT0_1X1" value="off" />
<parameter name="MEM_DDR3_R_ODT0_2X2" value="off,off" />
<parameter name="MEM_DDR3_R_ODT0_4X2" value="off,off,on,on" />
<parameter name="MEM_DDR3_R_ODT0_4X4" value="off,off,off,off" />
<parameter name="MEM_DDR3_R_ODT1_2X2" value="off,off" />
<parameter name="MEM_DDR3_R_ODT1_4X2" value="on,on,off,off" />
<parameter name="MEM_DDR3_R_ODT1_4X4" value="off,off,on,on" />
<parameter name="MEM_DDR3_R_ODT2_4X4" value="off,off,off,off" />
<parameter name="MEM_DDR3_R_ODT3_4X4" value="on,on,off,off" />
<parameter name="MEM_DDR3_R_ODTN_1X1" value="Rank 0" />
<parameter name="MEM_DDR3_R_ODTN_2X2" value="Rank 0,Rank 1" />
<parameter name="MEM_DDR3_R_ODTN_4X2">Rank 0,Rank 1,Rank 2,Rank 3</parameter>
<parameter name="MEM_DDR3_R_ODTN_4X4">Rank 0,Rank 1,Rank 2,Rank 3</parameter>
<parameter name="MEM_DDR3_SPEEDBIN_ENUM" value="DDR3_SPEEDBIN_1066" />
<parameter name="MEM_DDR3_SRT_ENUM" value="DDR3_SRT_NORMAL" />
<parameter name="MEM_DDR3_TCL" value="6" />
<parameter name="MEM_DDR3_TDH_DC_MV" value="100" />
<parameter name="MEM_DDR3_TDH_PS" value="100" />
<parameter name="MEM_DDR3_TDQSCK_PS" value="300" />
<parameter name="MEM_DDR3_TDQSQ_PS" value="150" />
<parameter name="MEM_DDR3_TDQSS_CYC" value="0.25" />
<parameter name="MEM_DDR3_TDSH_CYC" value="0.2" />
<parameter name="MEM_DDR3_TDSS_CYC" value="0.2" />
<parameter name="MEM_DDR3_TDS_AC_MV" value="175" />
<parameter name="MEM_DDR3_TDS_PS" value="25" />
<parameter name="MEM_DDR3_TFAW_NS" value="37.5" />
<parameter name="MEM_DDR3_TIH_DC_MV" value="100" />
<parameter name="MEM_DDR3_TIH_PS" value="200" />
<parameter name="MEM_DDR3_TINIT_US" value="500" />
<parameter name="MEM_DDR3_TIS_AC_MV" value="175" />
<parameter name="MEM_DDR3_TIS_PS" value="125" />
<parameter name="MEM_DDR3_TMRD_CK_CYC" value="4" />
<parameter name="MEM_DDR3_TQH_CYC" value="0.38" />
<parameter name="MEM_DDR3_TQSH_CYC" value="0.38" />
<parameter name="MEM_DDR3_TRAS_NS" value="37.5" />
<parameter name="MEM_DDR3_TRCD_NS" value="11.25" />
<parameter name="MEM_DDR3_TREFI_US" value="7.8" />
<parameter name="MEM_DDR3_TRFC_NS" value="110.0" />
<parameter name="MEM_DDR3_TRP_NS" value="11.25" />
<parameter name="MEM_DDR3_TRRD_CYC" value="4" />
<parameter name="MEM_DDR3_TRTP_CYC" value="4" />
<parameter name="MEM_DDR3_TWLH_PS" value="245.0" />
<parameter name="MEM_DDR3_TWLS_PS" value="245.0" />
<parameter name="MEM_DDR3_TWR_NS" value="15.0" />
<parameter name="MEM_DDR3_TWTR_CYC" value="4" />
<parameter name="MEM_DDR3_USE_DEFAULT_ODT" value="true" />
<parameter name="MEM_DDR3_WTCL" value="6" />
<parameter name="MEM_DDR3_W_ODT0_1X1" value="on" />
<parameter name="MEM_DDR3_W_ODT0_2X2" value="on,off" />
<parameter name="MEM_DDR3_W_ODT0_4X2" value="off,off,on,on" />
<parameter name="MEM_DDR3_W_ODT0_4X4" value="on,on,off,off" />
<parameter name="MEM_DDR3_W_ODT1_2X2" value="off,on" />
<parameter name="MEM_DDR3_W_ODT1_4X2" value="on,on,off,off" />
<parameter name="MEM_DDR3_W_ODT1_4X4" value="off,off,on,on" />
<parameter name="MEM_DDR3_W_ODT2_4X4" value="off,off,on,on" />
<parameter name="MEM_DDR3_W_ODT3_4X4" value="on,on,off,off" />
<parameter name="MEM_DDR3_W_ODTN_1X1" value="Rank 0" />
<parameter name="MEM_DDR3_W_ODTN_2X2" value="Rank 0,Rank 1" />
<parameter name="MEM_DDR3_W_ODTN_4X2">Rank 0,Rank 1,Rank 2,Rank 3</parameter>
<parameter name="MEM_DDR3_W_ODTN_4X4">Rank 0,Rank 1,Rank 2,Rank 3</parameter>
<parameter name="MEM_DDR4_AC_PARITY_LATENCY">DDR4_AC_PARITY_LATENCY_DISABLE</parameter>
<parameter name="MEM_DDR4_AC_PERSISTENT_ERROR" value="false" />
<parameter name="MEM_DDR4_ALERT_N_AC_LANE" value="0" />
<parameter name="MEM_DDR4_ALERT_N_AC_PIN" value="0" />
<parameter name="MEM_DDR4_ALERT_N_DQS_GROUP" value="0" />
<parameter name="MEM_DDR4_ALERT_N_PLACEMENT_ENUM">DDR4_ALERT_N_PLACEMENT_AUTO</parameter>
<parameter name="MEM_DDR4_ALERT_PAR_EN" value="true" />
<parameter name="MEM_DDR4_ASR_ENUM">DDR4_ASR_MANUAL_NORMAL</parameter>
<parameter name="MEM_DDR4_ATCL_ENUM" value="DDR4_ATCL_DISABLED" />
<parameter name="MEM_DDR4_BANK_ADDR_WIDTH" value="2" />
<parameter name="MEM_DDR4_BANK_GROUP_WIDTH" value="2" />
<parameter name="MEM_DDR4_BL_ENUM" value="DDR4_BL_BL8" />
<parameter name="MEM_DDR4_BT_ENUM" value="DDR4_BT_SEQUENTIAL" />
<parameter name="MEM_DDR4_CAL_MODE" value="0" />
<parameter name="MEM_DDR4_CHIP_ID_WIDTH" value="0" />
<parameter name="MEM_DDR4_CKE_PER_DIMM" value="1" />
<parameter name="MEM_DDR4_CK_WIDTH" value="1" />
<parameter name="MEM_DDR4_COL_ADDR_WIDTH" value="10" />
<parameter name="MEM_DDR4_DEFAULT_VREFOUT" value="true" />
<parameter name="MEM_DDR4_DISCRETE_CS_WIDTH" value="1" />
<parameter name="MEM_DDR4_DLL_EN" value="true" />
<parameter name="MEM_DDR4_DM_EN" value="true" />
<parameter name="MEM_DDR4_DQ_PER_DQS" value="8" />
<parameter name="MEM_DDR4_DQ_WIDTH" value="72" />
<parameter name="MEM_DDR4_DRV_STR_ENUM" value="DDR4_DRV_STR_RZQ_7" />
<parameter name="MEM_DDR4_FINE_GRANULARITY_REFRESH">DDR4_FINE_REFRESH_FIXED_1X</parameter>
<parameter name="MEM_DDR4_FORMAT_ENUM" value="MEM_FORMAT_UDIMM" />
<parameter name="MEM_DDR4_GEARDOWN" value="DDR4_GEARDOWN_HR" />
<parameter name="MEM_DDR4_INTERNAL_VREFDQ_MONITOR" value="false" />
<parameter name="MEM_DDR4_LRDIMM_EXTENDED_CONFIG" value="0000000000000000" />
<parameter name="MEM_DDR4_MAX_POWERDOWN" value="false" />
<parameter name="MEM_DDR4_MIRROR_ADDRESSING_EN" value="true" />
<parameter name="MEM_DDR4_MPR_READ_FORMAT">DDR4_MPR_READ_FORMAT_SERIAL</parameter>
<parameter name="MEM_DDR4_NUM_OF_DIMMS" value="1" />
<parameter name="MEM_DDR4_ODT_IN_POWERDOWN" value="true" />
<parameter name="MEM_DDR4_PER_DRAM_ADDR" value="false" />
<parameter name="MEM_DDR4_RANKS_PER_DIMM" value="1" />
<parameter name="MEM_DDR4_RDIMM_CONFIG">0000000000000000000000000000000F000000</parameter>
<parameter name="MEM_DDR4_READ_DBI" value="false" />
<parameter name="MEM_DDR4_READ_PREAMBLE" value="2" />
<parameter name="MEM_DDR4_READ_PREAMBLE_TRAINING" value="false" />
<parameter name="MEM_DDR4_ROW_ADDR_WIDTH" value="15" />
<parameter name="MEM_DDR4_RTT_NOM_ENUM">DDR4_RTT_NOM_ODT_DISABLED</parameter>
<parameter name="MEM_DDR4_RTT_PARK">DDR4_RTT_PARK_ODT_DISABLED</parameter>
<parameter name="MEM_DDR4_RTT_WR_ENUM" value="DDR4_RTT_WR_RZQ_1" />
<parameter name="MEM_DDR4_R_ODT0_1X1" value="off" />
<parameter name="MEM_DDR4_R_ODT0_2X2" value="off,off" />
<parameter name="MEM_DDR4_R_ODT0_4X2" value="off,off,on,on" />
<parameter name="MEM_DDR4_R_ODT0_4X4" value="off,off,off,off" />
<parameter name="MEM_DDR4_R_ODT1_2X2" value="off,off" />
<parameter name="MEM_DDR4_R_ODT1_4X2" value="on,on,off,off" />
<parameter name="MEM_DDR4_R_ODT1_4X4" value="off,off,on,on" />
<parameter name="MEM_DDR4_R_ODT2_4X4" value="off,off,off,off" />
<parameter name="MEM_DDR4_R_ODT3_4X4" value="on,on,off,off" />
<parameter name="MEM_DDR4_R_ODTN_1X1" value="Rank 0" />
<parameter name="MEM_DDR4_R_ODTN_2X2" value="Rank 0,Rank 1" />
<parameter name="MEM_DDR4_R_ODTN_4X2">Rank 0,Rank 1,Rank 2,Rank 3</parameter>
<parameter name="MEM_DDR4_R_ODTN_4X4">Rank 0,Rank 1,Rank 2,Rank 3</parameter>
<parameter name="MEM_DDR4_SELF_RFSH_ABORT" value="false" />
<parameter name="MEM_DDR4_SPEEDBIN_ENUM" value="DDR4_SPEEDBIN_2400" />
<parameter name="MEM_DDR4_TCCD_L_CYC" value="6" />
<parameter name="MEM_DDR4_TCCD_S_CYC" value="4" />
<parameter name="MEM_DDR4_TCL" value="18" />
<parameter name="MEM_DDR4_TDIVW_DJ_CYC" value="0.1" />
<parameter name="MEM_DDR4_TDQSCK_PS" value="165" />
<parameter name="MEM_DDR4_TDQSQ_PS" value="66" />
<parameter name="MEM_DDR4_TDQSS_CYC" value="0.27" />
<parameter name="MEM_DDR4_TDSH_CYC" value="0.18" />
<parameter name="MEM_DDR4_TDSS_CYC" value="0.18" />
<parameter name="MEM_DDR4_TEMP_CONTROLLED_RFSH_ENA" value="false" />
<parameter name="MEM_DDR4_TEMP_CONTROLLED_RFSH_RANGE">DDR4_TEMP_CONTROLLED_RFSH_NORMAL</parameter>
<parameter name="MEM_DDR4_TEMP_SENSOR_READOUT" value="false" />
<parameter name="MEM_DDR4_TFAW_NS" value="21.0" />
<parameter name="MEM_DDR4_TIH_DC_MV" value="75" />
<parameter name="MEM_DDR4_TIH_PS" value="95" />
<parameter name="MEM_DDR4_TINIT_US" value="500" />
<parameter name="MEM_DDR4_TIS_AC_MV" value="100" />
<parameter name="MEM_DDR4_TIS_PS" value="60" />
<parameter name="MEM_DDR4_TMRD_CK_CYC" value="8" />
<parameter name="MEM_DDR4_TQH_CYC" value="0.38" />
<parameter name="MEM_DDR4_TQSH_CYC" value="0.38" />
<parameter name="MEM_DDR4_TRAS_NS" value="32.0" />
<parameter name="MEM_DDR4_TRCD_NS" value="15.0" />
<parameter name="MEM_DDR4_TREFI_US" value="7.8" />
<parameter name="MEM_DDR4_TRFC_NS" value="260.0" />
<parameter name="MEM_DDR4_TRP_NS" value="15.0" />
<parameter name="MEM_DDR4_TRRD_L_CYC" value="6" />
<parameter name="MEM_DDR4_TRRD_S_CYC" value="4" />
<parameter name="MEM_DDR4_TWLH_PS" value="108.0" />
<parameter name="MEM_DDR4_TWLS_PS" value="108.0" />
<parameter name="MEM_DDR4_TWR_NS" value="15.0" />
<parameter name="MEM_DDR4_TWTR_L_CYC" value="9" />
<parameter name="MEM_DDR4_TWTR_S_CYC" value="3" />
<parameter name="MEM_DDR4_USER_VREFDQ_TRAINING_RANGE">DDR4_VREFDQ_TRAINING_RANGE_1</parameter>
<parameter name="MEM_DDR4_USER_VREFDQ_TRAINING_VALUE" value="60.0" />
<parameter name="MEM_DDR4_USE_DEFAULT_ODT" value="true" />
<parameter name="MEM_DDR4_VDIVW_TOTAL" value="136" />
<parameter name="MEM_DDR4_WRITE_CRC" value="false" />
<parameter name="MEM_DDR4_WRITE_DBI" value="false" />
<parameter name="MEM_DDR4_WRITE_PREAMBLE" value="1" />
<parameter name="MEM_DDR4_WTCL" value="12" />
<parameter name="MEM_DDR4_W_ODT0_1X1" value="on" />
<parameter name="MEM_DDR4_W_ODT0_2X2" value="on,off" />
<parameter name="MEM_DDR4_W_ODT0_4X2" value="off,off,on,on" />
<parameter name="MEM_DDR4_W_ODT0_4X4" value="on,on,off,off" />
<parameter name="MEM_DDR4_W_ODT1_2X2" value="off,on" />
<parameter name="MEM_DDR4_W_ODT1_4X2" value="on,on,off,off" />
<parameter name="MEM_DDR4_W_ODT1_4X4" value="off,off,on,on" />
<parameter name="MEM_DDR4_W_ODT2_4X4" value="off,off,on,on" />
<parameter name="MEM_DDR4_W_ODT3_4X4" value="on,on,off,off" />
<parameter name="MEM_DDR4_W_ODTN_1X1" value="Rank 0" />
<parameter name="MEM_DDR4_W_ODTN_2X2" value="Rank 0,Rank 1" />
<parameter name="MEM_DDR4_W_ODTN_4X2">Rank 0,Rank 1,Rank 2,Rank 3</parameter>
<parameter name="MEM_DDR4_W_ODTN_4X4">Rank 0,Rank 1,Rank 2,Rank 3</parameter>
<parameter name="MEM_QDR2_ADDR_WIDTH" value="19" />
<parameter name="MEM_QDR2_BL" value="4" />
<parameter name="MEM_QDR2_BWS_EN" value="true" />
<parameter name="MEM_QDR2_DATA_PER_DEVICE" value="36" />
<parameter name="MEM_QDR2_INTERNAL_JITTER_NS" value="0.08" />
<parameter name="MEM_QDR2_SPEEDBIN_ENUM" value="QDR2_SPEEDBIN_633" />
<parameter name="MEM_QDR2_TCCQO_NS" value="0.45" />
<parameter name="MEM_QDR2_TCQDOH_NS" value="-0.09" />
<parameter name="MEM_QDR2_TCQD_NS" value="0.09" />
<parameter name="MEM_QDR2_TCQH_NS" value="0.71" />
<parameter name="MEM_QDR2_THA_NS" value="0.18" />
<parameter name="MEM_QDR2_THD_NS" value="0.18" />
<parameter name="MEM_QDR2_TRL_CYC" value="2.5" />
<parameter name="MEM_QDR2_TSA_NS" value="0.23" />
<parameter name="MEM_QDR2_TSD_NS" value="0.23" />
<parameter name="MEM_QDR2_WIDTH_EXPANDED" value="false" />
<parameter name="MEM_QDR4_AC_ODT_MODE_ENUM" value="QDR4_ODT_25_PCT" />
<parameter name="MEM_QDR4_ADDR_INV_ENA" value="false" />
<parameter name="MEM_QDR4_ADDR_WIDTH" value="21" />
<parameter name="MEM_QDR4_CK_ODT_MODE_ENUM" value="QDR4_ODT_25_PCT" />
<parameter name="MEM_QDR4_DATA_INV_ENA" value="false" />
<parameter name="MEM_QDR4_DATA_ODT_MODE_ENUM" value="QDR4_ODT_25_PCT" />
<parameter name="MEM_QDR4_DQ_PER_PORT_PER_DEVICE" value="36" />
<parameter name="MEM_QDR4_PD_OUTPUT_DRIVE_MODE_ENUM">QDR4_OUTPUT_DRIVE_25_PCT</parameter>
<parameter name="MEM_QDR4_PU_OUTPUT_DRIVE_MODE_ENUM">QDR4_OUTPUT_DRIVE_25_PCT</parameter>
<parameter name="MEM_QDR4_SPEEDBIN_ENUM" value="QDR4_SPEEDBIN_2133" />
<parameter name="MEM_QDR4_TAH_PS" value="125" />
<parameter name="MEM_QDR4_TAS_PS" value="125" />
<parameter name="MEM_QDR4_TCH_PS" value="150" />
<parameter name="MEM_QDR4_TCKDK_MAX_PS" value="150" />
<parameter name="MEM_QDR4_TCKDK_MIN_PS" value="-150" />
<parameter name="MEM_QDR4_TCKQK_MAX_PS" value="225" />
<parameter name="MEM_QDR4_TCS_PS" value="150" />
<parameter name="MEM_QDR4_TIH_PS" value="125" />
<parameter name="MEM_QDR4_TIS_PS" value="125" />
<parameter name="MEM_QDR4_TQH_CYC" value="0.4" />
<parameter name="MEM_QDR4_TQKQ_MAX_PS" value="75" />
<parameter name="MEM_QDR4_WIDTH_EXPANDED" value="false" />
<parameter name="MEM_RLD2_ADDR_WIDTH" value="21" />
<parameter name="MEM_RLD2_BANK_ADDR_WIDTH" value="3" />
<parameter name="MEM_RLD2_BL" value="4" />
<parameter name="MEM_RLD2_CONFIG_ENUM">RLD2_CONFIG_TRC_8_TRL_8_TWL_9</parameter>
<parameter name="MEM_RLD2_DM_EN" value="true" />
<parameter name="MEM_RLD2_DQ_PER_DEVICE" value="9" />
<parameter name="MEM_RLD2_DRIVE_IMPEDENCE_ENUM">RLD2_DRIVE_IMPEDENCE_INTERNAL_50</parameter>
<parameter name="MEM_RLD2_ODT_MODE_ENUM" value="RLD2_ODT_ON" />
<parameter name="MEM_RLD2_REFRESH_INTERVAL_US" value="0.24" />
<parameter name="MEM_RLD2_SPEEDBIN_ENUM" value="RLD2_SPEEDBIN_18" />
<parameter name="MEM_RLD2_TAH_NS" value="0.3" />
<parameter name="MEM_RLD2_TAS_NS" value="0.3" />
<parameter name="MEM_RLD2_TCKDK_MAX_NS" value="0.3" />
<parameter name="MEM_RLD2_TCKDK_MIN_NS" value="-0.3" />
<parameter name="MEM_RLD2_TCKH_CYC" value="0.45" />
<parameter name="MEM_RLD2_TCKQK_MAX_NS" value="0.2" />
<parameter name="MEM_RLD2_TDH_NS" value="0.17" />
<parameter name="MEM_RLD2_TDS_NS" value="0.17" />
<parameter name="MEM_RLD2_TQKH_HCYC" value="0.9" />
<parameter name="MEM_RLD2_TQKQ_MAX_NS" value="0.12" />
<parameter name="MEM_RLD2_TQKQ_MIN_NS" value="-0.12" />
<parameter name="MEM_RLD2_WIDTH_EXPANDED" value="false" />
<parameter name="MEM_RLD3_ADDR_WIDTH" value="20" />
<parameter name="MEM_RLD3_AREF_PROTOCOL_ENUM" value="RLD3_AREF_BAC" />
<parameter name="MEM_RLD3_BANK_ADDR_WIDTH" value="4" />
<parameter name="MEM_RLD3_BL" value="2" />
<parameter name="MEM_RLD3_DATA_LATENCY_MODE_ENUM" value="RLD3_DL_RL16_WL17" />
<parameter name="MEM_RLD3_DEPTH_EXPANDED" value="false" />
<parameter name="MEM_RLD3_DM_EN" value="true" />
<parameter name="MEM_RLD3_DQ_PER_DEVICE" value="36" />
<parameter name="MEM_RLD3_ODT_MODE_ENUM" value="RLD3_ODT_40" />
<parameter name="MEM_RLD3_OUTPUT_DRIVE_MODE_ENUM">RLD3_OUTPUT_DRIVE_40</parameter>
<parameter name="MEM_RLD3_SPEEDBIN_ENUM" value="RLD3_SPEEDBIN_093E" />
<parameter name="MEM_RLD3_TCKDK_MAX_CYC" value="0.27" />
<parameter name="MEM_RLD3_TCKDK_MIN_CYC" value="-0.27" />
<parameter name="MEM_RLD3_TCKQK_MAX_PS" value="135" />
<parameter name="MEM_RLD3_TDH_PS" value="5" />
<parameter name="MEM_RLD3_TDS_PS" value="-30" />
<parameter name="MEM_RLD3_TIH_PS" value="65" />
<parameter name="MEM_RLD3_TIS_PS" value="85" />
<parameter name="MEM_RLD3_TQH_CYC" value="0.38" />
<parameter name="MEM_RLD3_TQKQ_MAX_PS" value="75" />
<parameter name="MEM_RLD3_T_RC_MODE_ENUM" value="RLD3_TRC_9" />
<parameter name="MEM_RLD3_WIDTH_EXPANDED" value="false" />
<parameter name="MEM_RLD3_WRITE_PROTOCOL_ENUM" value="RLD3_WRITE_1BANK" />
<parameter name="PHY_DDR3_CAL_ADDR0" value="0" />
<parameter name="PHY_DDR3_CAL_ADDR1" value="8" />
<parameter name="PHY_DDR3_CAL_ENABLE_NON_DES" value="true" />
<parameter name="PHY_DDR3_CONFIG_ENUM">CONFIG_PHY_AND_HARD_CTRL</parameter>
<parameter name="PHY_DDR3_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter>
<parameter name="PHY_DDR3_DEFAULT_IO" value="true" />
2015-05-14 18:35:20 +00:00
<parameter name="PHY_DDR3_DEFAULT_REF_CLK_FREQ" value="true" />
2015-05-11 15:55:50 +00:00
<parameter name="PHY_DDR3_IO_VOLTAGE" value="1.5" />
2015-05-14 18:35:20 +00:00
<parameter name="PHY_DDR3_MEM_CLK_FREQ_MHZ" value="533.0" />
2015-05-11 15:55:50 +00:00
<parameter name="PHY_DDR3_RATE_ENUM" value="RATE_QUARTER" />
<parameter name="PHY_DDR3_REF_CLK_JITTER_PS" value="10.0" />
<parameter name="PHY_DDR3_USER_AC_IO_STD_ENUM" value="unset" />
<parameter name="PHY_DDR3_USER_AC_MODE_ENUM" value="unset" />
2015-05-19 19:12:23 +00:00
<parameter name="PHY_DDR3_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" />
2015-05-11 15:55:50 +00:00
<parameter name="PHY_DDR3_USER_CK_IO_STD_ENUM" value="unset" />
<parameter name="PHY_DDR3_USER_CK_MODE_ENUM" value="unset" />
2015-05-19 19:12:23 +00:00
<parameter name="PHY_DDR3_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" />
2015-05-11 15:55:50 +00:00
<parameter name="PHY_DDR3_USER_DATA_IN_MODE_ENUM" value="unset" />
<parameter name="PHY_DDR3_USER_DATA_IO_STD_ENUM" value="unset" />
<parameter name="PHY_DDR3_USER_DATA_OUT_MODE_ENUM" value="unset" />
<parameter name="PHY_DDR3_USER_PING_PONG_EN" value="false" />
<parameter name="PHY_DDR3_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" />
2015-05-14 18:35:20 +00:00
<parameter name="PHY_DDR3_USER_REF_CLK_FREQ_MHZ" value="100.0" />
2015-05-11 15:55:50 +00:00
<parameter name="PHY_DDR3_USER_RZQ_IO_STD_ENUM" value="unset" />
<parameter name="PHY_DDR4_CONFIG_ENUM">CONFIG_PHY_AND_HARD_CTRL</parameter>
<parameter name="PHY_DDR4_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter>
<parameter name="PHY_DDR4_DEFAULT_IO" value="true" />
<parameter name="PHY_DDR4_DEFAULT_REF_CLK_FREQ" value="true" />
<parameter name="PHY_DDR4_IO_VOLTAGE" value="1.2" />
<parameter name="PHY_DDR4_MEM_CLK_FREQ_MHZ" value="1200.0" />
<parameter name="PHY_DDR4_RATE_ENUM" value="RATE_QUARTER" />
<parameter name="PHY_DDR4_REF_CLK_JITTER_PS" value="10.0" />
<parameter name="PHY_DDR4_STARTING_VREFIN" value="70.0" />
<parameter name="PHY_DDR4_USER_AC_IO_STD_ENUM" value="unset" />
<parameter name="PHY_DDR4_USER_AC_MODE_ENUM" value="unset" />
2015-05-19 19:12:23 +00:00
<parameter name="PHY_DDR4_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" />
2015-05-11 15:55:50 +00:00
<parameter name="PHY_DDR4_USER_CK_IO_STD_ENUM" value="unset" />
<parameter name="PHY_DDR4_USER_CK_MODE_ENUM" value="unset" />
2015-05-19 19:12:23 +00:00
<parameter name="PHY_DDR4_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" />
2015-05-11 15:55:50 +00:00
<parameter name="PHY_DDR4_USER_DATA_IN_MODE_ENUM" value="unset" />
<parameter name="PHY_DDR4_USER_DATA_IO_STD_ENUM" value="unset" />
<parameter name="PHY_DDR4_USER_DATA_OUT_MODE_ENUM" value="unset" />
<parameter name="PHY_DDR4_USER_PING_PONG_EN" value="false" />
<parameter name="PHY_DDR4_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" />
<parameter name="PHY_DDR4_USER_REF_CLK_FREQ_MHZ" value="-1.0" />
<parameter name="PHY_DDR4_USER_RZQ_IO_STD_ENUM" value="unset" />
<parameter name="PHY_QDR2_CONFIG_ENUM">CONFIG_PHY_AND_SOFT_CTRL</parameter>
<parameter name="PHY_QDR2_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter>
<parameter name="PHY_QDR2_DEFAULT_IO" value="true" />
<parameter name="PHY_QDR2_DEFAULT_REF_CLK_FREQ" value="true" />
<parameter name="PHY_QDR2_IO_VOLTAGE" value="1.5" />
<parameter name="PHY_QDR2_MEM_CLK_FREQ_MHZ" value="633.333" />
<parameter name="PHY_QDR2_RATE_ENUM" value="RATE_HALF" />
<parameter name="PHY_QDR2_REF_CLK_JITTER_PS" value="10.0" />
<parameter name="PHY_QDR2_USER_AC_IO_STD_ENUM" value="unset" />
<parameter name="PHY_QDR2_USER_AC_MODE_ENUM" value="unset" />
2015-05-19 19:12:23 +00:00
<parameter name="PHY_QDR2_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" />
2015-05-11 15:55:50 +00:00
<parameter name="PHY_QDR2_USER_CK_IO_STD_ENUM" value="unset" />
<parameter name="PHY_QDR2_USER_CK_MODE_ENUM" value="unset" />
2015-05-19 19:12:23 +00:00
<parameter name="PHY_QDR2_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" />
2015-05-11 15:55:50 +00:00
<parameter name="PHY_QDR2_USER_DATA_IN_MODE_ENUM" value="unset" />
<parameter name="PHY_QDR2_USER_DATA_IO_STD_ENUM" value="unset" />
<parameter name="PHY_QDR2_USER_DATA_OUT_MODE_ENUM" value="unset" />
<parameter name="PHY_QDR2_USER_PING_PONG_EN" value="false" />
<parameter name="PHY_QDR2_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" />
<parameter name="PHY_QDR2_USER_REF_CLK_FREQ_MHZ" value="-1.0" />
<parameter name="PHY_QDR2_USER_RZQ_IO_STD_ENUM" value="unset" />
<parameter name="PHY_QDR4_CONFIG_ENUM">CONFIG_PHY_AND_SOFT_CTRL</parameter>
<parameter name="PHY_QDR4_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter>
<parameter name="PHY_QDR4_DEFAULT_IO" value="true" />
<parameter name="PHY_QDR4_DEFAULT_REF_CLK_FREQ" value="true" />
<parameter name="PHY_QDR4_IO_VOLTAGE" value="1.2" />
<parameter name="PHY_QDR4_MEM_CLK_FREQ_MHZ" value="1066.667" />
<parameter name="PHY_QDR4_RATE_ENUM" value="RATE_QUARTER" />
<parameter name="PHY_QDR4_REF_CLK_JITTER_PS" value="10.0" />
2015-05-19 19:12:23 +00:00
<parameter name="PHY_QDR4_STARTING_VREFIN" value="70.0" />
2015-05-11 15:55:50 +00:00
<parameter name="PHY_QDR4_USER_AC_IO_STD_ENUM" value="unset" />
<parameter name="PHY_QDR4_USER_AC_MODE_ENUM" value="unset" />
2015-05-19 19:12:23 +00:00
<parameter name="PHY_QDR4_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" />
2015-05-11 15:55:50 +00:00
<parameter name="PHY_QDR4_USER_CK_IO_STD_ENUM" value="unset" />
<parameter name="PHY_QDR4_USER_CK_MODE_ENUM" value="unset" />
2015-05-19 19:12:23 +00:00
<parameter name="PHY_QDR4_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" />
2015-05-11 15:55:50 +00:00
<parameter name="PHY_QDR4_USER_DATA_IN_MODE_ENUM" value="unset" />
<parameter name="PHY_QDR4_USER_DATA_IO_STD_ENUM" value="unset" />
<parameter name="PHY_QDR4_USER_DATA_OUT_MODE_ENUM" value="unset" />
<parameter name="PHY_QDR4_USER_PING_PONG_EN" value="false" />
<parameter name="PHY_QDR4_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" />
<parameter name="PHY_QDR4_USER_REF_CLK_FREQ_MHZ" value="-1.0" />
<parameter name="PHY_QDR4_USER_RZQ_IO_STD_ENUM" value="unset" />
<parameter name="PHY_RLD2_CONFIG_ENUM">CONFIG_PHY_AND_SOFT_CTRL</parameter>
<parameter name="PHY_RLD2_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter>
<parameter name="PHY_RLD2_DEFAULT_IO" value="true" />
<parameter name="PHY_RLD2_DEFAULT_REF_CLK_FREQ" value="true" />
<parameter name="PHY_RLD2_IO_VOLTAGE" value="1.8" />
<parameter name="PHY_RLD2_MEM_CLK_FREQ_MHZ" value="533.333" />
<parameter name="PHY_RLD2_RATE_ENUM" value="RATE_HALF" />
<parameter name="PHY_RLD2_REF_CLK_JITTER_PS" value="10.0" />
<parameter name="PHY_RLD2_USER_AC_IO_STD_ENUM" value="unset" />
<parameter name="PHY_RLD2_USER_AC_MODE_ENUM" value="unset" />
2015-05-19 19:12:23 +00:00
<parameter name="PHY_RLD2_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" />
2015-05-11 15:55:50 +00:00
<parameter name="PHY_RLD2_USER_CK_IO_STD_ENUM" value="unset" />
<parameter name="PHY_RLD2_USER_CK_MODE_ENUM" value="unset" />
2015-05-19 19:12:23 +00:00
<parameter name="PHY_RLD2_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" />
2015-05-11 15:55:50 +00:00
<parameter name="PHY_RLD2_USER_DATA_IN_MODE_ENUM" value="unset" />
<parameter name="PHY_RLD2_USER_DATA_IO_STD_ENUM" value="unset" />
<parameter name="PHY_RLD2_USER_DATA_OUT_MODE_ENUM" value="unset" />
<parameter name="PHY_RLD2_USER_PING_PONG_EN" value="false" />
<parameter name="PHY_RLD2_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" />
<parameter name="PHY_RLD2_USER_REF_CLK_FREQ_MHZ" value="-1.0" />
<parameter name="PHY_RLD2_USER_RZQ_IO_STD_ENUM" value="unset" />
<parameter name="PHY_RLD3_CONFIG_ENUM" value="CONFIG_PHY_ONLY" />
<parameter name="PHY_RLD3_CORE_CLKS_SHARING_ENUM">CORE_CLKS_SHARING_DISABLED</parameter>
<parameter name="PHY_RLD3_DEFAULT_IO" value="true" />
<parameter name="PHY_RLD3_DEFAULT_REF_CLK_FREQ" value="true" />
<parameter name="PHY_RLD3_IO_VOLTAGE" value="1.2" />
<parameter name="PHY_RLD3_MEM_CLK_FREQ_MHZ" value="1066.667" />
<parameter name="PHY_RLD3_RATE_ENUM" value="RATE_QUARTER" />
<parameter name="PHY_RLD3_REF_CLK_JITTER_PS" value="10.0" />
<parameter name="PHY_RLD3_USER_AC_IO_STD_ENUM" value="unset" />
<parameter name="PHY_RLD3_USER_AC_MODE_ENUM" value="unset" />
2015-05-19 19:12:23 +00:00
<parameter name="PHY_RLD3_USER_AC_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" />
2015-05-11 15:55:50 +00:00
<parameter name="PHY_RLD3_USER_CK_IO_STD_ENUM" value="unset" />
<parameter name="PHY_RLD3_USER_CK_MODE_ENUM" value="unset" />
2015-05-19 19:12:23 +00:00
<parameter name="PHY_RLD3_USER_CK_SLEW_RATE_ENUM" value="SLEW_RATE_FAST" />
2015-05-11 15:55:50 +00:00
<parameter name="PHY_RLD3_USER_DATA_IN_MODE_ENUM" value="unset" />
<parameter name="PHY_RLD3_USER_DATA_IO_STD_ENUM" value="unset" />
<parameter name="PHY_RLD3_USER_DATA_OUT_MODE_ENUM" value="unset" />
<parameter name="PHY_RLD3_USER_PING_PONG_EN" value="false" />
<parameter name="PHY_RLD3_USER_PLL_REF_CLK_IO_STD_ENUM" value="unset" />
<parameter name="PHY_RLD3_USER_REF_CLK_FREQ_MHZ" value="-1.0" />
<parameter name="PHY_RLD3_USER_RZQ_IO_STD_ENUM" value="unset" />
2015-05-19 19:12:23 +00:00
<parameter name="PLL_ADD_EXTRA_CLKS" value="0" />
<parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_5" value="50.0" />
<parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_6" value="50.0" />
<parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_7" value="50.0" />
<parameter name="PLL_EXTRA_CLK_ACTUAL_DUTY_CYCLE_GUI_8" value="50.0" />
<parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_5" value="100.0" />
<parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_6" value="100.0" />
<parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_7" value="100.0" />
<parameter name="PLL_EXTRA_CLK_ACTUAL_FREQ_MHZ_GUI_8" value="100.0" />
<parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_5" value="0.0" />
<parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_6" value="0.0" />
<parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_7" value="0.0" />
<parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_DEG_GUI_8" value="0.0" />
<parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_5" value="0.0" />
<parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_6" value="0.0" />
<parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_7" value="0.0" />
<parameter name="PLL_EXTRA_CLK_ACTUAL_PHASE_PS_GUI_8" value="0.0" />
<parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_5" value="50.0" />
<parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_6" value="50.0" />
<parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_7" value="50.0" />
<parameter name="PLL_EXTRA_CLK_DESIRED_DUTY_CYCLE_GUI_8" value="50.0" />
<parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_5" value="100.0" />
<parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_6" value="100.0" />
<parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_7" value="100.0" />
<parameter name="PLL_EXTRA_CLK_DESIRED_FREQ_MHZ_GUI_8" value="100.0" />
<parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_5" value="0.0" />
<parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_6" value="0.0" />
<parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_7" value="0.0" />
<parameter name="PLL_EXTRA_CLK_DESIRED_PHASE_GUI_8" value="0.0" />
<parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_0" value="0" />
<parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_1" value="0" />
<parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_2" value="0" />
<parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_3" value="0" />
<parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_4" value="0" />
<parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_5" value="0" />
<parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_6" value="0" />
<parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_7" value="0" />
<parameter name="PLL_EXTRA_CLK_PHASE_SHIFT_UNIT_GUI_8" value="0" />
<parameter name="PLL_USER_NUM_OF_EXTRA_CLKS" value="0" />
2015-05-11 15:55:50 +00:00
<parameter name="PROTOCOL_ENUM" value="PROTOCOL_DDR3" />
2015-05-19 19:12:23 +00:00
<parameter name="SHORT_QSYS_INTERFACE_NAMES" value="false" />
2015-05-11 15:55:50 +00:00
<parameter name="SYS_INFO_DEVICE" value="10AX115S3F45I2SGE2" />
<parameter name="SYS_INFO_DEVICE_FAMILY" value="Arria 10" />
<parameter name="SYS_INFO_DEVICE_SPEEDGRADE" value="2" />
<parameter name="SYS_INFO_UNIQUE_ID">$${FILENAME}_sys_ddr3_cntrl</parameter>
2015-05-11 14:17:07 +00:00
</module>
2015-05-19 19:12:23 +00:00
<module name="sys_ethernet" kind="altera_eth_tse" version="15.0" enabled="1">
2015-05-11 15:55:50 +00:00
<parameter name="AUTO_DEVICE" value="10AX115S3F45I2SGE2" />
<parameter name="AUTO_DEVICE_SPEEDGRADE" value="2" />
2015-05-14 18:35:20 +00:00
<parameter name="core_variation" value="MAC_PCS" />
2015-05-11 15:55:50 +00:00
<parameter name="deviceFamilyName" value="Arria 10" />
2015-05-11 14:17:07 +00:00
<parameter name="eg_addr" value="11" />
<parameter name="ena_hash" value="false" />
<parameter name="enable_alt_reconfig" value="false" />
<parameter name="enable_ecc" value="false" />
<parameter name="enable_ena" value="32" />
<parameter name="enable_gmii_loopback" value="false" />
2015-05-14 18:35:20 +00:00
<parameter name="enable_hd_logic" value="false" />
2015-05-11 14:17:07 +00:00
<parameter name="enable_mac_flow_ctrl" value="true" />
<parameter name="enable_mac_vlan" value="false" />
<parameter name="enable_magic_detect" value="true" />
<parameter name="enable_ptp_1step" value="false" />
<parameter name="enable_sgmii" value="false" />
<parameter name="enable_shift16" value="true" />
<parameter name="enable_sup_addr" value="false" />
<parameter name="enable_timestamping" value="false" />
<parameter name="enable_use_internal_fifo" value="true" />
<parameter name="export_pwrdn" value="false" />
<parameter name="ext_stat_cnt_ena" value="false" />
2015-05-14 18:35:20 +00:00
<parameter name="ifGMII" value="MII_GMII" />
2015-05-11 14:17:07 +00:00
<parameter name="ing_addr" value="11" />
<parameter name="max_channels" value="1" />
<parameter name="mdio_clk_div" value="30" />
<parameter name="nf_phyip_rcfg_enable" value="false" />
<parameter name="phy_identifier" value="0" />
<parameter name="phyip_en_synce_support" value="false" />
<parameter name="phyip_pll_base_data_rate" value="1250 Mbps" />
<parameter name="phyip_pll_type" value="CMU" />
<parameter name="phyip_pma_bonding_mode" value="x1" />
<parameter name="starting_channel_number" value="0" />
<parameter name="stat_cnt_ena" value="true" />
2015-05-14 18:35:20 +00:00
<parameter name="transceiver_type" value="LVDS_IO" />
2015-05-11 14:17:07 +00:00
<parameter name="tstamp_fp_width" value="4" />
<parameter name="useMDIO" value="true" />
2015-05-19 19:12:23 +00:00
<parameter name="use_mac_clken" value="false" />
2015-05-11 14:17:07 +00:00
<parameter name="use_misc_ports" value="true" />
</module>
<module
name="sys_ethernet_dma_rx"
kind="altera_msgdma"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
enabled="1">
2015-05-11 15:55:50 +00:00
<parameter name="AUTO_DEVICE" value="10AX115S3F45I2SGE2" />
<parameter name="AUTO_DEVICE_FAMILY" value="Arria 10" />
<parameter name="AUTO_DEVICE_SPEEDGRADE" value="2" />
2015-05-19 19:12:23 +00:00
<parameter name="AUTO_MM_READ_ADDRESS_MAP" value="" />
<parameter name="AUTO_MM_READ_ADDRESS_WIDTH" value="" />
<parameter name="AUTO_MM_WRITE_ADDRESS_MAP"><![CDATA[<address-map><slave name='sys_ddr3_cntrl.ctrl_amm_avalon_slave_0' start='0x0' end='0x80000000' /></address-map>]]></parameter>
2015-05-20 18:24:49 +00:00
<parameter name="AUTO_MM_WRITE_ADDRESS_WIDTH">com.altera.entityinterfaces.moduleext.AddressWidthType@1652bcab</parameter>
2015-05-11 14:17:07 +00:00
<parameter name="BURST_ENABLE" value="1" />
<parameter name="BURST_WRAPPING_SUPPORT" value="0" />
<parameter name="CHANNEL_ENABLE" value="0" />
<parameter name="CHANNEL_WIDTH" value="8" />
<parameter name="DATA_FIFO_DEPTH" value="256" />
<parameter name="DATA_WIDTH" value="64" />
<parameter name="DESCRIPTOR_FIFO_DEPTH" value="512" />
<parameter name="ENHANCED_FEATURES" value="1" />
<parameter name="ERROR_ENABLE" value="1" />
<parameter name="ERROR_WIDTH" value="6" />
<parameter name="MAX_BURST_COUNT" value="64" />
<parameter name="MAX_BYTE" value="2048" />
<parameter name="MAX_STRIDE" value="1" />
<parameter name="MODE" value="2" />
<parameter name="PACKET_ENABLE" value="1" />
<parameter name="PROGRAMMABLE_BURST_ENABLE" value="0" />
<parameter name="RESPONSE_PORT" value="0" />
<parameter name="STRIDE_ENABLE" value="0" />
<parameter name="TRANSFER_TYPE" value="Unaligned Accesses" />
</module>
<module
name="sys_ethernet_dma_tx"
kind="altera_msgdma"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
enabled="1">
2015-05-11 15:55:50 +00:00
<parameter name="AUTO_DEVICE" value="10AX115S3F45I2SGE2" />
<parameter name="AUTO_DEVICE_FAMILY" value="Arria 10" />
<parameter name="AUTO_DEVICE_SPEEDGRADE" value="2" />
2015-05-19 19:12:23 +00:00
<parameter name="AUTO_MM_READ_ADDRESS_MAP"><![CDATA[<address-map><slave name='sys_ddr3_cntrl.ctrl_amm_avalon_slave_0' start='0x0' end='0x80000000' /></address-map>]]></parameter>
2015-05-20 18:24:49 +00:00
<parameter name="AUTO_MM_READ_ADDRESS_WIDTH">com.altera.entityinterfaces.moduleext.AddressWidthType@4c922d0e</parameter>
2015-05-19 19:12:23 +00:00
<parameter name="AUTO_MM_WRITE_ADDRESS_MAP" value="" />
<parameter name="AUTO_MM_WRITE_ADDRESS_WIDTH" value="" />
2015-05-11 14:17:07 +00:00
<parameter name="BURST_ENABLE" value="1" />
<parameter name="BURST_WRAPPING_SUPPORT" value="0" />
<parameter name="CHANNEL_ENABLE" value="0" />
<parameter name="CHANNEL_WIDTH" value="8" />
<parameter name="DATA_FIFO_DEPTH" value="256" />
<parameter name="DATA_WIDTH" value="64" />
<parameter name="DESCRIPTOR_FIFO_DEPTH" value="512" />
<parameter name="ENHANCED_FEATURES" value="1" />
<parameter name="ERROR_ENABLE" value="1" />
<parameter name="ERROR_WIDTH" value="1" />
<parameter name="MAX_BURST_COUNT" value="64" />
<parameter name="MAX_BYTE" value="2048" />
<parameter name="MAX_STRIDE" value="1" />
<parameter name="MODE" value="1" />
<parameter name="PACKET_ENABLE" value="1" />
<parameter name="PROGRAMMABLE_BURST_ENABLE" value="0" />
<parameter name="RESPONSE_PORT" value="2" />
<parameter name="STRIDE_ENABLE" value="0" />
<parameter name="TRANSFER_TYPE" value="Unaligned Accesses" />
</module>
2015-05-19 19:12:23 +00:00
<module name="sys_gpio" kind="altera_avalon_pio" version="15.0" enabled="1">
2015-05-11 14:17:07 +00:00
<parameter name="bitClearingEdgeCapReg" value="false" />
<parameter name="bitModifyingOutReg" value="false" />
<parameter name="captureEdge" value="false" />
<parameter name="clockRate" value="100000000" />
<parameter name="direction" value="InOut" />
<parameter name="edgeType" value="RISING" />
<parameter name="generateIRQ" value="true" />
<parameter name="irqType" value="LEVEL" />
<parameter name="resetValue" value="0" />
<parameter name="simDoTestBenchWiring" value="false" />
<parameter name="simDrivenValue" value="0" />
<parameter name="width" value="32" />
</module>
<module
2015-05-14 18:35:20 +00:00
name="sys_gpio_bd"
kind="altera_avalon_pio"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
enabled="1">
2015-05-14 18:35:20 +00:00
<parameter name="bitClearingEdgeCapReg" value="false" />
<parameter name="bitModifyingOutReg" value="false" />
<parameter name="captureEdge" value="false" />
<parameter name="clockRate" value="100000000" />
<parameter name="direction" value="InOut" />
<parameter name="edgeType" value="RISING" />
<parameter name="generateIRQ" value="true" />
<parameter name="irqType" value="LEVEL" />
<parameter name="resetValue" value="0" />
<parameter name="simDoTestBenchWiring" value="false" />
<parameter name="simDrivenValue" value="0" />
<parameter name="width" value="32" />
</module>
<module
name="sys_id"
kind="altera_avalon_sysid_qsys"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
enabled="1">
<parameter name="AUTO_DEVICE_FAMILY" value="Arria 10" />
<parameter name="id" value="0" />
</module>
<module
name="sys_int_mem"
2015-05-11 14:17:07 +00:00
kind="altera_avalon_onchip_memory2"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
enabled="1">
<parameter name="allowInSystemMemoryContentEditor" value="false" />
<parameter name="autoInitializationFileName">$${FILENAME}_sys_int_mem</parameter>
<parameter name="blockType" value="AUTO" />
<parameter name="copyInitFile" value="false" />
<parameter name="dataWidth" value="32" />
2015-05-11 15:55:50 +00:00
<parameter name="deviceFamily" value="Arria 10" />
2015-05-19 19:12:23 +00:00
<parameter name="deviceFeatures">ADDRESS_STALL 0 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 0 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 0 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 0 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 0 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 0 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 0 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 1 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 0 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 1 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 0 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 0 HAS_MIN_TIMING_ANALYSIS_SUPPORT 0 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 1 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 1 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 1 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 0 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 0 HAS_SYNTHESIS_ON_ATOMS 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_N
2015-05-11 14:17:07 +00:00
<parameter name="dualPort" value="true" />
<parameter name="ecc_enabled" value="false" />
<parameter name="initMemContent" value="true" />
<parameter name="initializationFileName" value="onchip_mem.hex" />
<parameter name="instanceID" value="NONE" />
<parameter name="memorySize" value="1572864" />
<parameter name="readDuringWriteMode" value="DONT_CARE" />
<parameter name="resetrequest_enabled" value="true" />
<parameter name="simAllowMRAMContentsFile" value="false" />
<parameter name="simMemInitOnlyFilename" value="0" />
<parameter name="singleClockOperation" value="false" />
<parameter name="slave1Latency" value="1" />
<parameter name="slave2Latency" value="1" />
<parameter name="useNonDefaultInitFile" value="false" />
<parameter name="useShallowMemBlocks" value="false" />
<parameter name="writable" value="true" />
</module>
2015-05-19 19:12:23 +00:00
<module name="sys_spi" kind="altera_avalon_spi" version="15.0" enabled="1">
2015-05-14 18:35:20 +00:00
<parameter name="avalonSpec" value="2.0" />
<parameter name="clockPhase" value="0" />
<parameter name="clockPolarity" value="0" />
<parameter name="dataWidth" value="8" />
<parameter name="disableAvalonFlowControl" value="false" />
<parameter name="inputClockRate" value="100000000" />
<parameter name="insertDelayBetweenSlaveSelectAndSClk" value="false" />
<parameter name="insertSync" value="false" />
<parameter name="lsbOrderedFirst" value="false" />
<parameter name="masterSPI" value="true" />
<parameter name="numberOfSlaves" value="8" />
<parameter name="syncRegDepth" value="2" />
<parameter name="targetClockRate" value="128000" />
<parameter name="targetSlaveSelectToSClkDelay" value="0.0" />
</module>
<module
name="sys_tcm_mem"
kind="altera_avalon_onchip_memory2"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
enabled="1">
<parameter name="allowInSystemMemoryContentEditor" value="false" />
<parameter name="autoInitializationFileName">$${FILENAME}_sys_tcm_mem</parameter>
<parameter name="blockType" value="AUTO" />
<parameter name="copyInitFile" value="false" />
<parameter name="dataWidth" value="32" />
<parameter name="deviceFamily" value="Arria 10" />
2015-05-19 19:12:23 +00:00
<parameter name="deviceFeatures">ADDRESS_STALL 0 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 0 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 0 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 0 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 0 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 0 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 0 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 1 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 0 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 1 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 0 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 0 HAS_MIN_TIMING_ANALYSIS_SUPPORT 0 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 1 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 1 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 1 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 0 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 0 HAS_SYNTHESIS_ON_ATOMS 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_N
2015-05-14 18:35:20 +00:00
<parameter name="dualPort" value="true" />
<parameter name="ecc_enabled" value="false" />
<parameter name="initMemContent" value="true" />
<parameter name="initializationFileName" value="onchip_mem.hex" />
<parameter name="instanceID" value="NONE" />
<parameter name="memorySize" value="4096" />
<parameter name="readDuringWriteMode" value="DONT_CARE" />
<parameter name="resetrequest_enabled" value="true" />
<parameter name="simAllowMRAMContentsFile" value="false" />
<parameter name="simMemInitOnlyFilename" value="0" />
<parameter name="singleClockOperation" value="false" />
<parameter name="slave1Latency" value="1" />
<parameter name="slave2Latency" value="1" />
<parameter name="useNonDefaultInitFile" value="false" />
<parameter name="useShallowMemBlocks" value="false" />
<parameter name="writable" value="true" />
</module>
<module
name="sys_timer"
kind="altera_avalon_timer"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
enabled="1">
<parameter name="alwaysRun" value="false" />
<parameter name="counterSize" value="32" />
<parameter name="fixedPeriod" value="false" />
<parameter name="period" value="1" />
<parameter name="periodUnits" value="MSEC" />
<parameter name="resetOutput" value="false" />
<parameter name="snapshot" value="true" />
<parameter name="systemFrequency" value="100000000" />
<parameter name="timeoutPulseOutput" value="false" />
2015-05-19 19:12:23 +00:00
<parameter name="watchdogPulse" value="2" />
2015-05-14 18:35:20 +00:00
</module>
2015-05-11 14:17:07 +00:00
<module
2015-05-14 18:35:20 +00:00
name="sys_uart"
kind="altera_avalon_jtag_uart"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
enabled="1">
2015-05-14 18:35:20 +00:00
<parameter name="allowMultipleConnections" value="false" />
<parameter name="avalonSpec" value="2.0" />
<parameter name="clkFreq" value="100000000" />
<parameter name="hubInstanceID" value="0" />
<parameter name="readBufferDepth" value="64" />
<parameter name="readIRQThreshold" value="8" />
<parameter name="simInputCharacterStream" value="" />
<parameter name="simInteractiveOptions">NO_INTERACTIVE_WINDOWS</parameter>
<parameter name="useRegistersForReadBuffer" value="false" />
<parameter name="useRegistersForWriteBuffer" value="false" />
<parameter name="useRelativePathForSimFile" value="false" />
<parameter name="writeBufferDepth" value="64" />
<parameter name="writeIRQThreshold" value="8" />
</module>
2015-05-19 19:12:23 +00:00
<module name="sys_xcvr" kind="altera_jesd204" version="15.0" enabled="1">
2015-05-11 14:17:07 +00:00
<parameter name="ADJCNT" value="0" />
<parameter name="ADJDIR" value="0" />
2015-05-11 15:55:50 +00:00
<parameter name="AUTO_DEVICE" value="10AX115S3F45I2SGE2" />
<parameter name="AUTO_DEVICE_SPEEDGRADE" value="2" />
2015-05-11 14:17:07 +00:00
<parameter name="BID" value="0" />
<parameter name="CF" value="0" />
<parameter name="CS" value="0" />
2015-05-14 18:35:20 +00:00
<parameter name="DATA_PATH" value="RX_TX" />
2015-05-11 15:55:50 +00:00
<parameter name="DEVICE_FAMILY" value="Arria 10" />
2015-05-11 14:17:07 +00:00
<parameter name="DID" value="0" />
<parameter name="DLB_TEST" value="0" />
<parameter name="ECC_EN" value="0" />
2015-05-19 19:12:23 +00:00
<parameter name="GUI_CFG_F" value="4" />
<parameter name="GUI_EN_CFG_F" value="false" />
2015-05-14 18:35:20 +00:00
<parameter name="HD" value="1" />
2015-05-11 14:17:07 +00:00
<parameter name="JESDV" value="1" />
<parameter name="K" value="32" />
<parameter name="L" value="4" />
<parameter name="LID0" value="0" />
<parameter name="LID1" value="1" />
<parameter name="LID2" value="2" />
<parameter name="LID3" value="3" />
<parameter name="LID4" value="4" />
<parameter name="LID5" value="5" />
<parameter name="LID6" value="6" />
<parameter name="LID7" value="7" />
2015-05-14 18:35:20 +00:00
<parameter name="M" value="2" />
2015-05-11 14:17:07 +00:00
<parameter name="N" value="16" />
<parameter name="N_PRIME" value="16" />
<parameter name="OPTIMIZE" value="0" />
2015-05-14 18:35:20 +00:00
<parameter name="PCS_CONFIG" value="JESD_PCS_CFG2" />
2015-05-11 14:17:07 +00:00
<parameter name="PHADJ" value="0" />
2015-05-14 18:35:20 +00:00
<parameter name="REFCLK_FREQ" value="500.0" />
2015-05-11 14:17:07 +00:00
<parameter name="RES1" value="0" />
<parameter name="RES2" value="0" />
<parameter name="S" value="1" />
<parameter name="SCR" value="1" />
<parameter name="SUBCLASSV" value="1" />
<parameter name="TERMINATE_RECONFIG_EN" value="false" />
<parameter name="TEST_COMPONENTS_EN" value="false" />
<parameter name="bitrev_en" value="false" />
2015-05-14 18:35:20 +00:00
<parameter name="bonded_mode" value="non_bonded" />
<parameter name="lane_rate" value="10000.0" />
2015-05-11 15:55:50 +00:00
<parameter name="part_trait_bd" value="NIGHTFURY5ES2" />
<parameter name="part_trait_dp" value="10AX115S3F45I2SGE2" />
2015-05-11 14:17:07 +00:00
<parameter name="pll_reconfig_enable" value="false" />
<parameter name="pll_type" value="CMU" />
<parameter name="rcfg_jtag_enable" value="false" />
<parameter name="sdc_constraint" value="1.0" />
2015-05-19 19:12:23 +00:00
<parameter name="set_capability_reg_enable" value="false" />
<parameter name="set_csr_soft_logic_enable" value="false" />
<parameter name="set_prbs_soft_logic_enable" value="false" />
<parameter name="set_user_identifier" value="0" />
2015-05-11 14:17:07 +00:00
<parameter name="wrapper_opt" value="base_phy" />
</module>
<module
2015-05-14 18:35:20 +00:00
name="sys_xcvr_rstcntrl"
kind="altera_xcvr_reset_control"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
enabled="1">
2015-05-14 18:35:20 +00:00
<parameter name="CHANNELS" value="4" />
<parameter name="PLLS" value="1" />
<parameter name="REDUCED_SIM_TIME" value="1" />
<parameter name="RX_ENABLE" value="1" />
<parameter name="RX_PER_CHANNEL" value="0" />
<parameter name="SYNCHRONIZE_PLL_RESET" value="0" />
<parameter name="SYNCHRONIZE_RESET" value="1" />
<parameter name="SYS_CLK_IN_MHZ" value="100" />
<parameter name="TX_ENABLE" value="1" />
<parameter name="TX_PER_CHANNEL" value="0" />
<parameter name="TX_PLL_ENABLE" value="1" />
<parameter name="T_PLL_LOCK_HYST" value="0" />
<parameter name="T_PLL_POWERDOWN" value="1000" />
<parameter name="T_RX_ANALOGRESET" value="40" />
<parameter name="T_RX_DIGITALRESET" value="4000" />
<parameter name="T_TX_DIGITALRESET" value="20" />
<parameter name="device_family" value="Arria 10" />
<parameter name="gui_pll_cal_busy" value="0" />
<parameter name="gui_rx_auto_reset" value="0" />
<parameter name="gui_split_interfaces" value="0" />
<parameter name="gui_tx_auto_reset" value="0" />
2015-05-11 14:17:07 +00:00
</module>
2015-05-19 19:12:23 +00:00
<module name="sys_xcvr_rx_clk" kind="altera_iopll" version="15.0" enabled="1">
2015-05-11 14:17:07 +00:00
<parameter name="gui_active_clk" value="false" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_actual_duty_cycle0" value="50.0" />
<parameter name="gui_actual_duty_cycle1" value="50.0" />
<parameter name="gui_actual_duty_cycle10" value="50.0" />
<parameter name="gui_actual_duty_cycle11" value="50.0" />
<parameter name="gui_actual_duty_cycle12" value="50.0" />
<parameter name="gui_actual_duty_cycle13" value="50.0" />
<parameter name="gui_actual_duty_cycle14" value="50.0" />
<parameter name="gui_actual_duty_cycle15" value="50.0" />
<parameter name="gui_actual_duty_cycle16" value="50.0" />
<parameter name="gui_actual_duty_cycle17" value="50.0" />
<parameter name="gui_actual_duty_cycle2" value="50.0" />
<parameter name="gui_actual_duty_cycle3" value="50.0" />
<parameter name="gui_actual_duty_cycle4" value="50.0" />
<parameter name="gui_actual_duty_cycle5" value="50.0" />
<parameter name="gui_actual_duty_cycle6" value="50.0" />
<parameter name="gui_actual_duty_cycle7" value="50.0" />
<parameter name="gui_actual_duty_cycle8" value="50.0" />
<parameter name="gui_actual_duty_cycle9" value="50.0" />
<parameter name="gui_actual_output_clock_frequency0" value="100.0" />
<parameter name="gui_actual_output_clock_frequency1" value="100.0" />
<parameter name="gui_actual_output_clock_frequency10" value="100.0" />
<parameter name="gui_actual_output_clock_frequency11" value="100.0" />
<parameter name="gui_actual_output_clock_frequency12" value="100.0" />
<parameter name="gui_actual_output_clock_frequency13" value="100.0" />
<parameter name="gui_actual_output_clock_frequency14" value="100.0" />
<parameter name="gui_actual_output_clock_frequency15" value="100.0" />
<parameter name="gui_actual_output_clock_frequency16" value="100.0" />
<parameter name="gui_actual_output_clock_frequency17" value="100.0" />
<parameter name="gui_actual_output_clock_frequency2" value="100.0" />
<parameter name="gui_actual_output_clock_frequency3" value="100.0" />
<parameter name="gui_actual_output_clock_frequency4" value="100.0" />
<parameter name="gui_actual_output_clock_frequency5" value="100.0" />
<parameter name="gui_actual_output_clock_frequency6" value="100.0" />
<parameter name="gui_actual_output_clock_frequency7" value="100.0" />
<parameter name="gui_actual_output_clock_frequency8" value="100.0" />
<parameter name="gui_actual_output_clock_frequency9" value="100.0" />
<parameter name="gui_actual_phase_shift0" value="0.0" />
<parameter name="gui_actual_phase_shift1" value="0.0" />
<parameter name="gui_actual_phase_shift10" value="0.0" />
<parameter name="gui_actual_phase_shift11" value="0.0" />
<parameter name="gui_actual_phase_shift12" value="0.0" />
<parameter name="gui_actual_phase_shift13" value="0.0" />
<parameter name="gui_actual_phase_shift14" value="0.0" />
<parameter name="gui_actual_phase_shift15" value="0.0" />
<parameter name="gui_actual_phase_shift16" value="0.0" />
<parameter name="gui_actual_phase_shift17" value="0.0" />
<parameter name="gui_actual_phase_shift2" value="0.0" />
<parameter name="gui_actual_phase_shift3" value="0.0" />
<parameter name="gui_actual_phase_shift4" value="0.0" />
<parameter name="gui_actual_phase_shift5" value="0.0" />
<parameter name="gui_actual_phase_shift6" value="0.0" />
<parameter name="gui_actual_phase_shift7" value="0.0" />
<parameter name="gui_actual_phase_shift8" value="0.0" />
<parameter name="gui_actual_phase_shift9" value="0.0" />
<parameter name="gui_actual_phase_shift_deg0" value="0.0" />
<parameter name="gui_actual_phase_shift_deg1" value="0.0" />
<parameter name="gui_actual_phase_shift_deg10" value="0.0" />
<parameter name="gui_actual_phase_shift_deg11" value="0.0" />
<parameter name="gui_actual_phase_shift_deg12" value="0.0" />
<parameter name="gui_actual_phase_shift_deg13" value="0.0" />
<parameter name="gui_actual_phase_shift_deg14" value="0.0" />
<parameter name="gui_actual_phase_shift_deg15" value="0.0" />
<parameter name="gui_actual_phase_shift_deg16" value="0.0" />
<parameter name="gui_actual_phase_shift_deg17" value="0.0" />
<parameter name="gui_actual_phase_shift_deg2" value="0.0" />
<parameter name="gui_actual_phase_shift_deg3" value="0.0" />
<parameter name="gui_actual_phase_shift_deg4" value="0.0" />
<parameter name="gui_actual_phase_shift_deg5" value="0.0" />
<parameter name="gui_actual_phase_shift_deg6" value="0.0" />
<parameter name="gui_actual_phase_shift_deg7" value="0.0" />
<parameter name="gui_actual_phase_shift_deg8" value="0.0" />
<parameter name="gui_actual_phase_shift_deg9" value="0.0" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_cascade_counter0" value="false" />
<parameter name="gui_cascade_counter1" value="false" />
<parameter name="gui_cascade_counter10" value="false" />
<parameter name="gui_cascade_counter11" value="false" />
<parameter name="gui_cascade_counter12" value="false" />
<parameter name="gui_cascade_counter13" value="false" />
<parameter name="gui_cascade_counter14" value="false" />
<parameter name="gui_cascade_counter15" value="false" />
<parameter name="gui_cascade_counter16" value="false" />
<parameter name="gui_cascade_counter17" value="false" />
<parameter name="gui_cascade_counter2" value="false" />
<parameter name="gui_cascade_counter3" value="false" />
<parameter name="gui_cascade_counter4" value="false" />
<parameter name="gui_cascade_counter5" value="false" />
<parameter name="gui_cascade_counter6" value="false" />
<parameter name="gui_cascade_counter7" value="false" />
<parameter name="gui_cascade_counter8" value="false" />
<parameter name="gui_cascade_counter9" value="false" />
<parameter name="gui_cascade_outclk_index" value="0" />
<parameter name="gui_clk_bad" value="false" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_clock_name_global0" value="false" />
<parameter name="gui_clock_name_global1" value="false" />
<parameter name="gui_clock_name_global10" value="false" />
<parameter name="gui_clock_name_global11" value="false" />
<parameter name="gui_clock_name_global12" value="false" />
<parameter name="gui_clock_name_global13" value="false" />
<parameter name="gui_clock_name_global14" value="false" />
<parameter name="gui_clock_name_global15" value="false" />
<parameter name="gui_clock_name_global16" value="false" />
<parameter name="gui_clock_name_global17" value="false" />
<parameter name="gui_clock_name_global2" value="false" />
<parameter name="gui_clock_name_global3" value="false" />
<parameter name="gui_clock_name_global4" value="false" />
<parameter name="gui_clock_name_global5" value="false" />
<parameter name="gui_clock_name_global6" value="false" />
<parameter name="gui_clock_name_global7" value="false" />
<parameter name="gui_clock_name_global8" value="false" />
<parameter name="gui_clock_name_global9" value="false" />
<parameter name="gui_clock_name_string0" value="outclk0" />
<parameter name="gui_clock_name_string1" value="outclk1" />
<parameter name="gui_clock_name_string10" value="outclk10" />
<parameter name="gui_clock_name_string11" value="outclk11" />
<parameter name="gui_clock_name_string12" value="outclk12" />
<parameter name="gui_clock_name_string13" value="outclk13" />
<parameter name="gui_clock_name_string14" value="outclk14" />
<parameter name="gui_clock_name_string15" value="outclk15" />
<parameter name="gui_clock_name_string16" value="outclk16" />
<parameter name="gui_clock_name_string17" value="outclk17" />
<parameter name="gui_clock_name_string2" value="outclk2" />
<parameter name="gui_clock_name_string3" value="outclk3" />
<parameter name="gui_clock_name_string4" value="outclk4" />
<parameter name="gui_clock_name_string5" value="outclk5" />
<parameter name="gui_clock_name_string6" value="outclk6" />
<parameter name="gui_clock_name_string7" value="outclk7" />
<parameter name="gui_clock_name_string8" value="outclk8" />
<parameter name="gui_clock_name_string9" value="outclk9" />
<parameter name="gui_device_speed_grade" value="1" />
<parameter name="gui_divide_factor_c0" value="6" />
<parameter name="gui_divide_factor_c1" value="6" />
<parameter name="gui_divide_factor_c10" value="6" />
<parameter name="gui_divide_factor_c11" value="6" />
<parameter name="gui_divide_factor_c12" value="6" />
<parameter name="gui_divide_factor_c13" value="6" />
<parameter name="gui_divide_factor_c14" value="6" />
<parameter name="gui_divide_factor_c15" value="6" />
<parameter name="gui_divide_factor_c16" value="6" />
<parameter name="gui_divide_factor_c17" value="6" />
<parameter name="gui_divide_factor_c2" value="6" />
<parameter name="gui_divide_factor_c3" value="6" />
<parameter name="gui_divide_factor_c4" value="6" />
<parameter name="gui_divide_factor_c5" value="6" />
<parameter name="gui_divide_factor_c6" value="6" />
<parameter name="gui_divide_factor_c7" value="6" />
<parameter name="gui_divide_factor_c8" value="6" />
<parameter name="gui_divide_factor_c9" value="6" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_divide_factor_n" value="1" />
<parameter name="gui_dps_cntr" value="C0" />
<parameter name="gui_dps_dir" value="Positive" />
<parameter name="gui_dps_num" value="1" />
<parameter name="gui_dsm_out_sel" value="1st_order" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_duty_cycle0" value="50.0" />
<parameter name="gui_duty_cycle1" value="50.0" />
<parameter name="gui_duty_cycle10" value="50.0" />
<parameter name="gui_duty_cycle11" value="50.0" />
<parameter name="gui_duty_cycle12" value="50.0" />
<parameter name="gui_duty_cycle13" value="50.0" />
<parameter name="gui_duty_cycle14" value="50.0" />
<parameter name="gui_duty_cycle15" value="50.0" />
<parameter name="gui_duty_cycle16" value="50.0" />
<parameter name="gui_duty_cycle17" value="50.0" />
<parameter name="gui_duty_cycle2" value="50.0" />
<parameter name="gui_duty_cycle3" value="50.0" />
<parameter name="gui_duty_cycle4" value="50.0" />
<parameter name="gui_duty_cycle5" value="50.0" />
<parameter name="gui_duty_cycle6" value="50.0" />
<parameter name="gui_duty_cycle7" value="50.0" />
<parameter name="gui_duty_cycle8" value="50.0" />
<parameter name="gui_duty_cycle9" value="50.0" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_en_adv_params" value="false" />
<parameter name="gui_en_dps_ports" value="false" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_en_extclkout_ports" value="false" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_en_lvds_ports" value="false" />
<parameter name="gui_en_phout_ports" value="false" />
<parameter name="gui_en_reconf" value="false" />
<parameter name="gui_enable_cascade_in" value="false" />
<parameter name="gui_enable_cascade_out" value="false" />
<parameter name="gui_enable_mif_dps" value="false" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_enable_output_counter_cascading" value="false" />
<parameter name="gui_extclkout_0_source" value="C0" />
<parameter name="gui_extclkout_1_source" value="C0" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_feedback_clock" value="Global Clock" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_fix_vco_frequency" value="false" />
<parameter name="gui_fixed_vco_frequency" value="600.0" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_frac_multiply_factor" value="1" />
<parameter name="gui_fractional_cout" value="32" />
<parameter name="gui_mif_generate" value="false" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_multiply_factor" value="6" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_number_of_clocks" value="1" />
<parameter name="gui_operation_mode" value="direct" />
2015-05-14 18:35:20 +00:00
<parameter name="gui_output_clock_frequency0" value="250.0" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_output_clock_frequency1" value="100.0" />
<parameter name="gui_output_clock_frequency10" value="100.0" />
<parameter name="gui_output_clock_frequency11" value="100.0" />
<parameter name="gui_output_clock_frequency12" value="100.0" />
<parameter name="gui_output_clock_frequency13" value="100.0" />
<parameter name="gui_output_clock_frequency14" value="100.0" />
<parameter name="gui_output_clock_frequency15" value="100.0" />
<parameter name="gui_output_clock_frequency16" value="100.0" />
<parameter name="gui_output_clock_frequency17" value="100.0" />
<parameter name="gui_output_clock_frequency2" value="100.0" />
<parameter name="gui_output_clock_frequency3" value="100.0" />
<parameter name="gui_output_clock_frequency4" value="100.0" />
<parameter name="gui_output_clock_frequency5" value="100.0" />
<parameter name="gui_output_clock_frequency6" value="100.0" />
<parameter name="gui_output_clock_frequency7" value="100.0" />
<parameter name="gui_output_clock_frequency8" value="100.0" />
<parameter name="gui_output_clock_frequency9" value="100.0" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_phase_shift0" value="0.0" />
<parameter name="gui_phase_shift1" value="0.0" />
<parameter name="gui_phase_shift10" value="0.0" />
<parameter name="gui_phase_shift11" value="0.0" />
<parameter name="gui_phase_shift12" value="0.0" />
<parameter name="gui_phase_shift13" value="0.0" />
<parameter name="gui_phase_shift14" value="0.0" />
<parameter name="gui_phase_shift15" value="0.0" />
<parameter name="gui_phase_shift16" value="0.0" />
<parameter name="gui_phase_shift17" value="0.0" />
<parameter name="gui_phase_shift2" value="0.0" />
<parameter name="gui_phase_shift3" value="0.0" />
<parameter name="gui_phase_shift4" value="0.0" />
<parameter name="gui_phase_shift5" value="0.0" />
<parameter name="gui_phase_shift6" value="0.0" />
<parameter name="gui_phase_shift7" value="0.0" />
<parameter name="gui_phase_shift8" value="0.0" />
<parameter name="gui_phase_shift9" value="0.0" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_phase_shift_deg0" value="0.0" />
<parameter name="gui_phase_shift_deg1" value="0.0" />
<parameter name="gui_phase_shift_deg10" value="0.0" />
<parameter name="gui_phase_shift_deg11" value="0.0" />
<parameter name="gui_phase_shift_deg12" value="0.0" />
<parameter name="gui_phase_shift_deg13" value="0.0" />
<parameter name="gui_phase_shift_deg14" value="0.0" />
<parameter name="gui_phase_shift_deg15" value="0.0" />
<parameter name="gui_phase_shift_deg16" value="0.0" />
<parameter name="gui_phase_shift_deg17" value="0.0" />
<parameter name="gui_phase_shift_deg2" value="0.0" />
<parameter name="gui_phase_shift_deg3" value="0.0" />
<parameter name="gui_phase_shift_deg4" value="0.0" />
<parameter name="gui_phase_shift_deg5" value="0.0" />
<parameter name="gui_phase_shift_deg6" value="0.0" />
<parameter name="gui_phase_shift_deg7" value="0.0" />
<parameter name="gui_phase_shift_deg8" value="0.0" />
<parameter name="gui_phase_shift_deg9" value="0.0" />
<parameter name="gui_phout_division" value="1" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_pll_auto_reset" value="false" />
<parameter name="gui_pll_bandwidth_preset" value="Low" />
<parameter name="gui_pll_cascading_mode" value="adjpllin" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_pll_mode" value="Integer-N PLL" />
<parameter name="gui_ps_units0" value="ps" />
<parameter name="gui_ps_units1" value="ps" />
<parameter name="gui_ps_units10" value="ps" />
<parameter name="gui_ps_units11" value="ps" />
<parameter name="gui_ps_units12" value="ps" />
<parameter name="gui_ps_units13" value="ps" />
<parameter name="gui_ps_units14" value="ps" />
<parameter name="gui_ps_units15" value="ps" />
<parameter name="gui_ps_units16" value="ps" />
<parameter name="gui_ps_units17" value="ps" />
<parameter name="gui_ps_units2" value="ps" />
<parameter name="gui_ps_units3" value="ps" />
<parameter name="gui_ps_units4" value="ps" />
<parameter name="gui_ps_units5" value="ps" />
<parameter name="gui_ps_units6" value="ps" />
<parameter name="gui_ps_units7" value="ps" />
<parameter name="gui_ps_units8" value="ps" />
<parameter name="gui_ps_units9" value="ps" />
<parameter name="gui_refclk1_frequency" value="100.0" />
<parameter name="gui_refclk_switch" value="false" />
2015-05-14 18:35:20 +00:00
<parameter name="gui_reference_clock_frequency" value="500.0" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_switchover_delay" value="0" />
<parameter name="gui_switchover_mode">Automatic Switchover</parameter>
<parameter name="gui_use_locked" value="true" />
2015-05-11 15:55:50 +00:00
<parameter name="system_info_device_component" value="10AX115S3F45I2SGE2" />
<parameter name="system_info_device_family" value="Arria 10" />
<parameter name="system_info_device_speed_grade" value="2" />
<parameter name="system_part_trait_speed_grade" value="2" />
2015-05-11 14:17:07 +00:00
</module>
<module
2015-05-14 18:35:20 +00:00
name="sys_xcvr_rx_ref_clk"
kind="clock_source"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
enabled="1">
2015-05-14 18:35:20 +00:00
<parameter name="clockFrequency" value="500000000" />
<parameter name="clockFrequencyKnown" value="true" />
<parameter name="inputClockFrequency" value="0" />
<parameter name="resetSynchronousEdges" value="NONE" />
2015-05-11 14:17:07 +00:00
</module>
2015-05-19 19:12:23 +00:00
<module name="sys_xcvr_tx_clk" kind="altera_iopll" version="15.0" enabled="1">
2015-05-11 14:17:07 +00:00
<parameter name="gui_active_clk" value="false" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_actual_duty_cycle0" value="50.0" />
<parameter name="gui_actual_duty_cycle1" value="50.0" />
<parameter name="gui_actual_duty_cycle10" value="50.0" />
<parameter name="gui_actual_duty_cycle11" value="50.0" />
<parameter name="gui_actual_duty_cycle12" value="50.0" />
<parameter name="gui_actual_duty_cycle13" value="50.0" />
<parameter name="gui_actual_duty_cycle14" value="50.0" />
<parameter name="gui_actual_duty_cycle15" value="50.0" />
<parameter name="gui_actual_duty_cycle16" value="50.0" />
<parameter name="gui_actual_duty_cycle17" value="50.0" />
<parameter name="gui_actual_duty_cycle2" value="50.0" />
<parameter name="gui_actual_duty_cycle3" value="50.0" />
<parameter name="gui_actual_duty_cycle4" value="50.0" />
<parameter name="gui_actual_duty_cycle5" value="50.0" />
<parameter name="gui_actual_duty_cycle6" value="50.0" />
<parameter name="gui_actual_duty_cycle7" value="50.0" />
<parameter name="gui_actual_duty_cycle8" value="50.0" />
<parameter name="gui_actual_duty_cycle9" value="50.0" />
<parameter name="gui_actual_output_clock_frequency0" value="100.0" />
<parameter name="gui_actual_output_clock_frequency1" value="100.0" />
<parameter name="gui_actual_output_clock_frequency10" value="100.0" />
<parameter name="gui_actual_output_clock_frequency11" value="100.0" />
<parameter name="gui_actual_output_clock_frequency12" value="100.0" />
<parameter name="gui_actual_output_clock_frequency13" value="100.0" />
<parameter name="gui_actual_output_clock_frequency14" value="100.0" />
<parameter name="gui_actual_output_clock_frequency15" value="100.0" />
<parameter name="gui_actual_output_clock_frequency16" value="100.0" />
<parameter name="gui_actual_output_clock_frequency17" value="100.0" />
<parameter name="gui_actual_output_clock_frequency2" value="100.0" />
<parameter name="gui_actual_output_clock_frequency3" value="100.0" />
<parameter name="gui_actual_output_clock_frequency4" value="100.0" />
<parameter name="gui_actual_output_clock_frequency5" value="100.0" />
<parameter name="gui_actual_output_clock_frequency6" value="100.0" />
<parameter name="gui_actual_output_clock_frequency7" value="100.0" />
<parameter name="gui_actual_output_clock_frequency8" value="100.0" />
<parameter name="gui_actual_output_clock_frequency9" value="100.0" />
<parameter name="gui_actual_phase_shift0" value="0.0" />
<parameter name="gui_actual_phase_shift1" value="0.0" />
<parameter name="gui_actual_phase_shift10" value="0.0" />
<parameter name="gui_actual_phase_shift11" value="0.0" />
<parameter name="gui_actual_phase_shift12" value="0.0" />
<parameter name="gui_actual_phase_shift13" value="0.0" />
<parameter name="gui_actual_phase_shift14" value="0.0" />
<parameter name="gui_actual_phase_shift15" value="0.0" />
<parameter name="gui_actual_phase_shift16" value="0.0" />
<parameter name="gui_actual_phase_shift17" value="0.0" />
<parameter name="gui_actual_phase_shift2" value="0.0" />
<parameter name="gui_actual_phase_shift3" value="0.0" />
<parameter name="gui_actual_phase_shift4" value="0.0" />
<parameter name="gui_actual_phase_shift5" value="0.0" />
<parameter name="gui_actual_phase_shift6" value="0.0" />
<parameter name="gui_actual_phase_shift7" value="0.0" />
<parameter name="gui_actual_phase_shift8" value="0.0" />
<parameter name="gui_actual_phase_shift9" value="0.0" />
<parameter name="gui_actual_phase_shift_deg0" value="0.0" />
<parameter name="gui_actual_phase_shift_deg1" value="0.0" />
<parameter name="gui_actual_phase_shift_deg10" value="0.0" />
<parameter name="gui_actual_phase_shift_deg11" value="0.0" />
<parameter name="gui_actual_phase_shift_deg12" value="0.0" />
<parameter name="gui_actual_phase_shift_deg13" value="0.0" />
<parameter name="gui_actual_phase_shift_deg14" value="0.0" />
<parameter name="gui_actual_phase_shift_deg15" value="0.0" />
<parameter name="gui_actual_phase_shift_deg16" value="0.0" />
<parameter name="gui_actual_phase_shift_deg17" value="0.0" />
<parameter name="gui_actual_phase_shift_deg2" value="0.0" />
<parameter name="gui_actual_phase_shift_deg3" value="0.0" />
<parameter name="gui_actual_phase_shift_deg4" value="0.0" />
<parameter name="gui_actual_phase_shift_deg5" value="0.0" />
<parameter name="gui_actual_phase_shift_deg6" value="0.0" />
<parameter name="gui_actual_phase_shift_deg7" value="0.0" />
<parameter name="gui_actual_phase_shift_deg8" value="0.0" />
<parameter name="gui_actual_phase_shift_deg9" value="0.0" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_cascade_counter0" value="false" />
<parameter name="gui_cascade_counter1" value="false" />
<parameter name="gui_cascade_counter10" value="false" />
<parameter name="gui_cascade_counter11" value="false" />
<parameter name="gui_cascade_counter12" value="false" />
<parameter name="gui_cascade_counter13" value="false" />
<parameter name="gui_cascade_counter14" value="false" />
<parameter name="gui_cascade_counter15" value="false" />
<parameter name="gui_cascade_counter16" value="false" />
<parameter name="gui_cascade_counter17" value="false" />
<parameter name="gui_cascade_counter2" value="false" />
<parameter name="gui_cascade_counter3" value="false" />
<parameter name="gui_cascade_counter4" value="false" />
<parameter name="gui_cascade_counter5" value="false" />
<parameter name="gui_cascade_counter6" value="false" />
<parameter name="gui_cascade_counter7" value="false" />
<parameter name="gui_cascade_counter8" value="false" />
<parameter name="gui_cascade_counter9" value="false" />
<parameter name="gui_cascade_outclk_index" value="0" />
<parameter name="gui_clk_bad" value="false" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_clock_name_global0" value="false" />
<parameter name="gui_clock_name_global1" value="false" />
<parameter name="gui_clock_name_global10" value="false" />
<parameter name="gui_clock_name_global11" value="false" />
<parameter name="gui_clock_name_global12" value="false" />
<parameter name="gui_clock_name_global13" value="false" />
<parameter name="gui_clock_name_global14" value="false" />
<parameter name="gui_clock_name_global15" value="false" />
<parameter name="gui_clock_name_global16" value="false" />
<parameter name="gui_clock_name_global17" value="false" />
<parameter name="gui_clock_name_global2" value="false" />
<parameter name="gui_clock_name_global3" value="false" />
<parameter name="gui_clock_name_global4" value="false" />
<parameter name="gui_clock_name_global5" value="false" />
<parameter name="gui_clock_name_global6" value="false" />
<parameter name="gui_clock_name_global7" value="false" />
<parameter name="gui_clock_name_global8" value="false" />
<parameter name="gui_clock_name_global9" value="false" />
<parameter name="gui_clock_name_string0" value="outclk0" />
<parameter name="gui_clock_name_string1" value="outclk1" />
<parameter name="gui_clock_name_string10" value="outclk10" />
<parameter name="gui_clock_name_string11" value="outclk11" />
<parameter name="gui_clock_name_string12" value="outclk12" />
<parameter name="gui_clock_name_string13" value="outclk13" />
<parameter name="gui_clock_name_string14" value="outclk14" />
<parameter name="gui_clock_name_string15" value="outclk15" />
<parameter name="gui_clock_name_string16" value="outclk16" />
<parameter name="gui_clock_name_string17" value="outclk17" />
<parameter name="gui_clock_name_string2" value="outclk2" />
<parameter name="gui_clock_name_string3" value="outclk3" />
<parameter name="gui_clock_name_string4" value="outclk4" />
<parameter name="gui_clock_name_string5" value="outclk5" />
<parameter name="gui_clock_name_string6" value="outclk6" />
<parameter name="gui_clock_name_string7" value="outclk7" />
<parameter name="gui_clock_name_string8" value="outclk8" />
<parameter name="gui_clock_name_string9" value="outclk9" />
<parameter name="gui_device_speed_grade" value="1" />
<parameter name="gui_divide_factor_c0" value="6" />
<parameter name="gui_divide_factor_c1" value="6" />
<parameter name="gui_divide_factor_c10" value="6" />
<parameter name="gui_divide_factor_c11" value="6" />
<parameter name="gui_divide_factor_c12" value="6" />
<parameter name="gui_divide_factor_c13" value="6" />
<parameter name="gui_divide_factor_c14" value="6" />
<parameter name="gui_divide_factor_c15" value="6" />
<parameter name="gui_divide_factor_c16" value="6" />
<parameter name="gui_divide_factor_c17" value="6" />
<parameter name="gui_divide_factor_c2" value="6" />
<parameter name="gui_divide_factor_c3" value="6" />
<parameter name="gui_divide_factor_c4" value="6" />
<parameter name="gui_divide_factor_c5" value="6" />
<parameter name="gui_divide_factor_c6" value="6" />
<parameter name="gui_divide_factor_c7" value="6" />
<parameter name="gui_divide_factor_c8" value="6" />
<parameter name="gui_divide_factor_c9" value="6" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_divide_factor_n" value="1" />
<parameter name="gui_dps_cntr" value="C0" />
<parameter name="gui_dps_dir" value="Positive" />
<parameter name="gui_dps_num" value="1" />
<parameter name="gui_dsm_out_sel" value="1st_order" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_duty_cycle0" value="50.0" />
<parameter name="gui_duty_cycle1" value="50.0" />
<parameter name="gui_duty_cycle10" value="50.0" />
<parameter name="gui_duty_cycle11" value="50.0" />
<parameter name="gui_duty_cycle12" value="50.0" />
<parameter name="gui_duty_cycle13" value="50.0" />
<parameter name="gui_duty_cycle14" value="50.0" />
<parameter name="gui_duty_cycle15" value="50.0" />
<parameter name="gui_duty_cycle16" value="50.0" />
<parameter name="gui_duty_cycle17" value="50.0" />
<parameter name="gui_duty_cycle2" value="50.0" />
<parameter name="gui_duty_cycle3" value="50.0" />
<parameter name="gui_duty_cycle4" value="50.0" />
<parameter name="gui_duty_cycle5" value="50.0" />
<parameter name="gui_duty_cycle6" value="50.0" />
<parameter name="gui_duty_cycle7" value="50.0" />
<parameter name="gui_duty_cycle8" value="50.0" />
<parameter name="gui_duty_cycle9" value="50.0" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_en_adv_params" value="false" />
<parameter name="gui_en_dps_ports" value="false" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_en_extclkout_ports" value="false" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_en_lvds_ports" value="false" />
<parameter name="gui_en_phout_ports" value="false" />
<parameter name="gui_en_reconf" value="false" />
<parameter name="gui_enable_cascade_in" value="false" />
<parameter name="gui_enable_cascade_out" value="false" />
<parameter name="gui_enable_mif_dps" value="false" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_enable_output_counter_cascading" value="false" />
<parameter name="gui_extclkout_0_source" value="C0" />
<parameter name="gui_extclkout_1_source" value="C0" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_feedback_clock" value="Global Clock" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_fix_vco_frequency" value="false" />
<parameter name="gui_fixed_vco_frequency" value="600.0" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_frac_multiply_factor" value="1" />
<parameter name="gui_fractional_cout" value="32" />
<parameter name="gui_mif_generate" value="false" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_multiply_factor" value="6" />
2015-05-14 18:35:20 +00:00
<parameter name="gui_number_of_clocks" value="1" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_operation_mode" value="direct" />
2015-05-14 18:35:20 +00:00
<parameter name="gui_output_clock_frequency0" value="250.0" />
<parameter name="gui_output_clock_frequency1" value="100.0" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_output_clock_frequency10" value="100.0" />
<parameter name="gui_output_clock_frequency11" value="100.0" />
<parameter name="gui_output_clock_frequency12" value="100.0" />
<parameter name="gui_output_clock_frequency13" value="100.0" />
<parameter name="gui_output_clock_frequency14" value="100.0" />
<parameter name="gui_output_clock_frequency15" value="100.0" />
<parameter name="gui_output_clock_frequency16" value="100.0" />
<parameter name="gui_output_clock_frequency17" value="100.0" />
2015-05-14 18:35:20 +00:00
<parameter name="gui_output_clock_frequency2" value="100.0" />
<parameter name="gui_output_clock_frequency3" value="100.0" />
<parameter name="gui_output_clock_frequency4" value="100.0" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_output_clock_frequency5" value="100.0" />
<parameter name="gui_output_clock_frequency6" value="100.0" />
<parameter name="gui_output_clock_frequency7" value="100.0" />
<parameter name="gui_output_clock_frequency8" value="100.0" />
<parameter name="gui_output_clock_frequency9" value="100.0" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_phase_shift0" value="0.0" />
<parameter name="gui_phase_shift1" value="0.0" />
<parameter name="gui_phase_shift10" value="0.0" />
<parameter name="gui_phase_shift11" value="0.0" />
<parameter name="gui_phase_shift12" value="0.0" />
<parameter name="gui_phase_shift13" value="0.0" />
<parameter name="gui_phase_shift14" value="0.0" />
<parameter name="gui_phase_shift15" value="0.0" />
<parameter name="gui_phase_shift16" value="0.0" />
<parameter name="gui_phase_shift17" value="0.0" />
<parameter name="gui_phase_shift2" value="0.0" />
<parameter name="gui_phase_shift3" value="0.0" />
<parameter name="gui_phase_shift4" value="0.0" />
<parameter name="gui_phase_shift5" value="0.0" />
<parameter name="gui_phase_shift6" value="0.0" />
<parameter name="gui_phase_shift7" value="0.0" />
<parameter name="gui_phase_shift8" value="0.0" />
<parameter name="gui_phase_shift9" value="0.0" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_phase_shift_deg0" value="0.0" />
<parameter name="gui_phase_shift_deg1" value="0.0" />
<parameter name="gui_phase_shift_deg10" value="0.0" />
<parameter name="gui_phase_shift_deg11" value="0.0" />
<parameter name="gui_phase_shift_deg12" value="0.0" />
<parameter name="gui_phase_shift_deg13" value="0.0" />
<parameter name="gui_phase_shift_deg14" value="0.0" />
<parameter name="gui_phase_shift_deg15" value="0.0" />
<parameter name="gui_phase_shift_deg16" value="0.0" />
<parameter name="gui_phase_shift_deg17" value="0.0" />
<parameter name="gui_phase_shift_deg2" value="0.0" />
<parameter name="gui_phase_shift_deg3" value="0.0" />
<parameter name="gui_phase_shift_deg4" value="0.0" />
<parameter name="gui_phase_shift_deg5" value="0.0" />
<parameter name="gui_phase_shift_deg6" value="0.0" />
<parameter name="gui_phase_shift_deg7" value="0.0" />
<parameter name="gui_phase_shift_deg8" value="0.0" />
<parameter name="gui_phase_shift_deg9" value="0.0" />
<parameter name="gui_phout_division" value="1" />
2015-05-11 15:55:50 +00:00
<parameter name="gui_pll_auto_reset" value="false" />
<parameter name="gui_pll_bandwidth_preset" value="Low" />
<parameter name="gui_pll_cascading_mode" value="adjpllin" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_pll_mode" value="Integer-N PLL" />
<parameter name="gui_ps_units0" value="ps" />
<parameter name="gui_ps_units1" value="ps" />
<parameter name="gui_ps_units10" value="ps" />
<parameter name="gui_ps_units11" value="ps" />
<parameter name="gui_ps_units12" value="ps" />
<parameter name="gui_ps_units13" value="ps" />
<parameter name="gui_ps_units14" value="ps" />
<parameter name="gui_ps_units15" value="ps" />
<parameter name="gui_ps_units16" value="ps" />
<parameter name="gui_ps_units17" value="ps" />
<parameter name="gui_ps_units2" value="ps" />
<parameter name="gui_ps_units3" value="ps" />
<parameter name="gui_ps_units4" value="ps" />
<parameter name="gui_ps_units5" value="ps" />
<parameter name="gui_ps_units6" value="ps" />
<parameter name="gui_ps_units7" value="ps" />
<parameter name="gui_ps_units8" value="ps" />
<parameter name="gui_ps_units9" value="ps" />
<parameter name="gui_refclk1_frequency" value="100.0" />
<parameter name="gui_refclk_switch" value="false" />
2015-05-14 18:35:20 +00:00
<parameter name="gui_reference_clock_frequency" value="500.0" />
2015-05-11 14:17:07 +00:00
<parameter name="gui_switchover_delay" value="0" />
<parameter name="gui_switchover_mode">Automatic Switchover</parameter>
<parameter name="gui_use_locked" value="true" />
2015-05-11 15:55:50 +00:00
<parameter name="system_info_device_component" value="10AX115S3F45I2SGE2" />
<parameter name="system_info_device_family" value="Arria 10" />
<parameter name="system_info_device_speed_grade" value="2" />
<parameter name="system_part_trait_speed_grade" value="2" />
2015-05-11 14:17:07 +00:00
</module>
<module
2015-05-14 18:35:20 +00:00
name="sys_xcvr_tx_pll"
kind="altera_xcvr_atx_pll_a10"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
enabled="1">
2015-05-14 18:35:20 +00:00
<parameter name="base_device" value="NIGHTFURY5ES2" />
<parameter name="bw_sel" value="medium" />
<parameter name="device" value="10AX115S3F45I2SGE2" />
<parameter name="device_family" value="Arria 10" />
<parameter name="enable_16G_path" value="0" />
<parameter name="enable_8G_path" value="1" />
2015-05-19 19:12:23 +00:00
<parameter name="enable_atx_to_fpll_cascade_out" value="0" />
2015-05-14 18:35:20 +00:00
<parameter name="enable_bonding_clks" value="0" />
<parameter name="enable_cascade_out" value="0" />
<parameter name="enable_debug_ports_parameters" value="0" />
<parameter name="enable_fb_comp_bonding" value="0" />
<parameter name="enable_fractional" value="0" />
<parameter name="enable_hfreq_clk" value="0" />
<parameter name="enable_hip_cal_done_port" value="0" />
2015-05-19 19:12:23 +00:00
<parameter name="enable_manual_configuration" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="enable_mcgb" value="0" />
<parameter name="enable_mcgb_pcie_clksw" value="0" />
<parameter name="enable_pcie_clk" value="0" />
<parameter name="enable_pld_atx_cal_busy_port" value="1" />
<parameter name="enable_pld_mcgb_cal_busy_port" value="0" />
<parameter name="enable_pll_reconfig" value="0" />
<parameter name="generate_add_hdl_instance_example" value="0" />
<parameter name="generate_docs" value="1" />
<parameter name="mcgb_aux_clkin_cnt" value="0" />
<parameter name="mcgb_div" value="1" />
<parameter name="message_level" value="error" />
<parameter name="pma_width" value="64" />
<parameter name="primary_pll_buffer">GX clock output buffer</parameter>
<parameter name="prot_mode" value="Basic" />
<parameter name="rcfg_debug" value="0" />
<parameter name="rcfg_file_prefix">altera_xcvr_atx_pll_a10</parameter>
<parameter name="rcfg_h_file_enable" value="0" />
<parameter name="rcfg_jtag_enable" value="0" />
<parameter name="rcfg_mif_file_enable" value="0" />
<parameter name="rcfg_multi_enable" value="0" />
<parameter name="rcfg_param_vals1" value="" />
<parameter name="rcfg_param_vals2" value="" />
<parameter name="rcfg_profile_cnt" value="2" />
<parameter name="rcfg_profile_select" value="1" />
<parameter name="rcfg_sv_file_enable" value="0" />
<parameter name="rcfg_txt_file_enable" value="0" />
<parameter name="refclk_cnt" value="1" />
<parameter name="refclk_index" value="0" />
2015-05-19 19:12:23 +00:00
<parameter name="select_manual_config" value="false" />
2015-05-14 18:35:20 +00:00
<parameter name="set_altera_xcvr_atx_pll_a10_calibration_en" value="1" />
<parameter name="set_auto_reference_clock_frequency" value="500.0" />
<parameter name="set_capability_reg_enable" value="0" />
<parameter name="set_csr_soft_logic_enable" value="0" />
<parameter name="set_fref_clock_frequency" value="100.0" />
<parameter name="set_hip_cal_en" value="0" />
<parameter name="set_k_counter" value="1" />
2015-05-19 19:12:23 +00:00
<parameter name="set_l_cascade_counter" value="4" />
2015-05-14 18:35:20 +00:00
<parameter name="set_l_counter" value="2" />
<parameter name="set_m_counter" value="1" />
<parameter name="set_manual_reference_clock_frequency" value="100.0" />
<parameter name="set_output_clock_frequency" value="5000.0" />
<parameter name="set_ref_clk_div" value="1" />
<parameter name="set_user_identifier" value="0" />
<parameter name="silicon_rev" value="false" />
<parameter name="support_mode" value="user_mode" />
<parameter name="test_mode" value="0" />
2015-05-11 14:17:07 +00:00
</module>
<module
2015-05-14 18:35:20 +00:00
name="sys_xcvr_tx_ref_clk"
kind="clock_source"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
enabled="1">
2015-05-14 18:35:20 +00:00
<parameter name="clockFrequency" value="500000000" />
<parameter name="clockFrequencyKnown" value="true" />
<parameter name="inputClockFrequency" value="0" />
<parameter name="resetSynchronousEdges" value="NONE" />
2015-05-11 14:17:07 +00:00
</module>
2015-05-20 18:24:49 +00:00
<module name="util_cpack_0" kind="util_cpack" version="1.0" enabled="1">
<parameter name="CH_CNT" value="2" />
<parameter name="CH_DW" value="64" />
</module>
<module name="util_upack_0" kind="util_upack" version="1.0" enabled="1">
<parameter name="CH_CNT" value="2" />
<parameter name="CH_DW" value="64" />
</module>
2015-05-11 14:17:07 +00:00
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
end="sys_uart.avalon_jtag_slave">
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x8022a4f0" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
2015-05-11 15:55:50 +00:00
end="sys_ethernet.control_port">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x8022a000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
2015-05-11 15:55:50 +00:00
end="sys_id.control_slave">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x8022a4e8" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
2015-05-11 15:55:50 +00:00
end="sys_ethernet_dma_tx.csr">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x8022a4a0" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
2015-05-11 15:55:50 +00:00
end="sys_ethernet_dma_rx.csr">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x8022a480" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
2015-05-11 15:55:50 +00:00
end="sys_ddr3_cntrl.ctrl_amm_avalon_slave_0">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-05-11 15:55:50 +00:00
<parameter name="baseAddress" value="0x0000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
end="sys_cpu.debug_mem_slave">
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x80229800" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
end="sys_ethernet_dma_rx.descriptor_slave">
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x8022a460" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
end="sys_ethernet_dma_tx.descriptor_slave">
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x8022a440" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
end="sys_ethernet_dma_rx.response">
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x8022a4e0" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
2015-05-14 18:35:20 +00:00
end="sys_int_mem.s1">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x80000000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
2015-05-14 18:35:20 +00:00
end="sys_gpio_bd.s1">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x8022a4d0" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
2015-05-14 18:35:20 +00:00
end="sys_timer.s1">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x8022a420" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
2015-05-14 18:35:20 +00:00
end="sys_gpio.s1">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x8022a4c0" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
2015-05-14 18:35:20 +00:00
end="axi_ad9680_dma.s_axi">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x80224000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
2015-05-14 18:35:20 +00:00
end="axi_ad9144_dma.s_axi">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x80220000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
2015-05-14 18:35:20 +00:00
end="axi_ad9680_core.s_axi">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x80210000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
2015-05-14 18:35:20 +00:00
end="axi_ad9144_core.s_axi">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x80200000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.data_master"
end="sys_spi.spi_control_port">
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x8022a400" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.instruction_master"
2015-05-11 15:55:50 +00:00
end="sys_ddr3_cntrl.ctrl_amm_avalon_slave_0">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x0000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.instruction_master"
end="sys_cpu.debug_mem_slave">
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x80229800" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.instruction_master"
end="sys_int_mem.s2">
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x80000000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="axi_ad9680_dma.m_dest_axi"
2015-05-11 15:55:50 +00:00
end="sys_ddr3_cntrl.ctrl_amm_avalon_slave_0">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x0000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="axi_ad9144_dma.m_src_axi"
end="sys_ddr3_cntrl.ctrl_amm_avalon_slave_0">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-05-11 15:55:50 +00:00
<parameter name="baseAddress" value="0x0000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_ethernet_dma_tx.mm_read"
2015-05-11 15:55:50 +00:00
end="sys_ddr3_cntrl.ctrl_amm_avalon_slave_0">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x0000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_ethernet_dma_rx.mm_write"
2015-05-11 15:55:50 +00:00
end="sys_ddr3_cntrl.ctrl_amm_avalon_slave_0">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
<parameter name="baseAddress" value="0x0000" />
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.tightly_coupled_data_master_0"
end="sys_tcm_mem.s1">
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x80228000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.tightly_coupled_instruction_master_0"
end="sys_tcm_mem.s2">
<parameter name="arbitrationPriority" value="1" />
2015-05-14 18:35:20 +00:00
<parameter name="baseAddress" value="0x80228000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
2015-05-20 18:24:49 +00:00
<connection
kind="avalon_streaming"
version="15.0"
start="axi_ad9144_core.if_tx_data"
end="sys_xcvr.jesd204_tx_link" />
<connection
kind="avalon_streaming"
version="15.0"
start="sys_xcvr.jesd204_rx_link"
end="axi_ad9680_core.if_rx_data" />
2015-05-11 14:17:07 +00:00
<connection
kind="avalon_streaming"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_ethernet.receive"
end="sys_ethernet_dma_rx.st_sink" />
<connection
kind="avalon_streaming"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_ethernet_dma_tx.st_source"
end="sys_ethernet.transmit" />
2015-05-19 19:12:23 +00:00
<connection kind="clock" version="15.0" start="sys_clk.clk" end="sys_cpu.clk" />
<connection kind="clock" version="15.0" start="sys_clk.clk" end="sys_uart.clk" />
<connection kind="clock" version="15.0" start="sys_clk.clk" end="sys_timer.clk" />
<connection kind="clock" version="15.0" start="sys_clk.clk" end="sys_id.clk" />
<connection kind="clock" version="15.0" start="sys_clk.clk" end="sys_gpio_bd.clk" />
<connection kind="clock" version="15.0" start="sys_clk.clk" end="sys_gpio.clk" />
<connection kind="clock" version="15.0" start="sys_clk.clk" end="sys_spi.clk" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk"
end="sys_int_mem.clk1" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk"
end="sys_tcm_mem.clk1" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk"
end="sys_int_mem.clk2" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk"
end="sys_tcm_mem.clk2" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk"
end="sys_ethernet_dma_tx.clock" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk"
end="sys_ethernet_dma_rx.clock" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk"
end="sys_xcvr_rstcntrl.clock" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk"
end="sys_ethernet.control_port_clock_connection" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk"
end="sys_xcvr.jesd204_rx_avs_clk" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk"
end="sys_xcvr.jesd204_tx_avs_clk" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr_tx_ref_clk.clk"
end="sys_xcvr_tx_pll.pll_refclk0" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk"
end="sys_ethernet.receive_clock_connection" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr_tx_ref_clk.clk"
end="sys_xcvr_tx_clk.refclk" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr_rx_ref_clk.clk"
end="sys_xcvr_rx_clk.refclk" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr_rx_ref_clk.clk"
end="sys_xcvr.rx_pll_ref_clk" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk"
end="axi_ad9680_dma.s_axi_clock" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk"
end="axi_ad9144_dma.s_axi_clock" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk"
end="axi_ad9680_core.s_axi_clock" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk"
end="axi_ad9144_core.s_axi_clock" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk"
end="sys_ethernet.transmit_clock_connection" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_ddr3_cntrl.emif_usr_clk_clock_source"
end="axi_ad9680_dma.m_dest_axi_clock" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_ddr3_cntrl.emif_usr_clk_clock_source"
end="axi_ad9144_dma.m_src_axi_clock" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr_rx_clk.outclk0"
2015-05-20 18:24:49 +00:00
end="util_cpack_0.if_adc_clk" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr_tx_clk.outclk0"
2015-05-20 18:24:49 +00:00
end="util_upack_0.if_dac_clk" />
<connection
kind="clock"
version="15.0"
start="sys_xcvr_tx_clk.outclk0"
end="axi_ad9144_dma.if_fifo_rd_clk" />
<connection
kind="clock"
version="15.0"
start="sys_xcvr_rx_clk.outclk0"
end="axi_ad9680_dma.if_fifo_wr_clock" />
<connection
kind="clock"
version="15.0"
start="sys_xcvr_rx_clk.outclk0"
end="axi_ad9680_core.if_rx_clk" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr_tx_clk.outclk0"
2015-05-20 18:24:49 +00:00
end="axi_ad9144_core.if_tx_clk" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr_rx_clk.outclk0"
2015-05-20 18:24:49 +00:00
end="sys_xcvr.rxlink_clk" />
<connection
kind="clock"
version="15.0"
start="sys_xcvr_tx_clk.outclk0"
end="sys_xcvr.txlink_clk" />
2015-05-14 18:35:20 +00:00
<connection
kind="conduit"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr.dev_lane_aligned"
end="sys_xcvr.alldev_lane_aligned">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
2015-05-20 18:24:49 +00:00
<connection
kind="conduit"
version="15.0"
start="axi_ad9680_core.if_adc_data_0"
end="util_cpack_0.if_adc_data_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9680_core.if_adc_data_1"
end="util_cpack_0.if_adc_data_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9680_core.if_adc_dovf"
end="axi_ad9680_dma.if_fifo_wr_overflow">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_cpack_0.if_adc_enable_0"
end="axi_ad9680_core.if_adc_enable_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9680_core.if_adc_enable_1"
end="util_cpack_0.if_adc_enable_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_cpack_0.if_adc_sync"
end="axi_ad9680_dma.if_fifo_wr_sync">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_cpack_0.if_adc_valid"
end="axi_ad9680_dma.if_fifo_wr_en">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9680_core.if_adc_valid_0"
end="util_cpack_0.if_adc_valid_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_cpack_0.if_adc_valid_1"
end="axi_ad9680_core.if_adc_valid_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9144_core.if_dac_data_0"
end="util_upack_0.if_dac_data_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9144_core.if_dac_data_1"
end="util_upack_0.if_dac_data_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9144_core.if_dac_enable_0"
end="util_upack_0.if_dac_enable_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9144_core.if_dac_enable_1"
end="util_upack_0.if_dac_enable_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="util_upack_0.if_dac_valid"
end="axi_ad9144_dma.if_fifo_rd_en">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9144_core.if_dac_valid_0"
end="util_upack_0.if_dac_valid_0">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9144_core.if_dac_valid_1"
end="util_upack_0.if_dac_valid_1">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9144_dma.if_fifo_rd_dout"
end="util_upack_0.if_dac_data">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9144_dma.if_fifo_rd_underflow"
end="axi_ad9144_core.if_dac_dunf">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
version="15.0"
start="axi_ad9680_dma.if_fifo_wr_din"
end="util_cpack_0.if_adc_data">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
2015-05-14 18:35:20 +00:00
<connection
kind="conduit"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr_tx_pll.pll_powerdown"
end="sys_xcvr_rstcntrl.pll_powerdown">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr.rx_analogreset"
end="sys_xcvr_rstcntrl.rx_analogreset">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr.rx_cal_busy"
end="sys_xcvr_rstcntrl.rx_cal_busy">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr.rx_digitalreset"
end="sys_xcvr_rstcntrl.rx_digitalreset">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr_rstcntrl.rx_is_lockedtodata"
end="sys_xcvr.rx_islockedtodata">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr_rstcntrl.tx_analogreset"
end="sys_xcvr.tx_analogreset">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr_rstcntrl.tx_cal_busy"
end="sys_xcvr.tx_cal_busy">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="conduit"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr.tx_digitalreset"
end="sys_xcvr_rstcntrl.tx_digitalreset">
<parameter name="endPort" value="" />
<parameter name="endPortLSB" value="0" />
<parameter name="startPort" value="" />
<parameter name="startPortLSB" value="0" />
<parameter name="width" value="0" />
</connection>
<connection
kind="hssi_serial_clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr_tx_pll.tx_serial_clk"
end="sys_xcvr.tx_serial_clk0_ch0" />
<connection
kind="hssi_serial_clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr_tx_pll.tx_serial_clk"
end="sys_xcvr.tx_serial_clk0_ch1" />
<connection
kind="hssi_serial_clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr_tx_pll.tx_serial_clk"
end="sys_xcvr.tx_serial_clk0_ch2" />
<connection
kind="hssi_serial_clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_xcvr_tx_pll.tx_serial_clk"
end="sys_xcvr.tx_serial_clk0_ch3" />
2015-05-11 14:17:07 +00:00
<connection
kind="interrupt"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.irq"
end="sys_ethernet_dma_rx.csr_irq">
<parameter name="irqNumber" value="3" />
</connection>
<connection
kind="interrupt"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.irq"
end="sys_ethernet_dma_tx.csr_irq">
<parameter name="irqNumber" value="1" />
</connection>
<connection
kind="interrupt"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.irq"
2015-05-14 18:35:20 +00:00
end="axi_ad9680_dma.interrupt_sender">
2015-05-11 14:17:07 +00:00
<parameter name="irqNumber" value="6" />
</connection>
<connection
kind="interrupt"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.irq"
2015-05-14 18:35:20 +00:00
end="axi_ad9144_dma.interrupt_sender">
2015-05-11 14:17:07 +00:00
<parameter name="irqNumber" value="7" />
</connection>
<connection
kind="interrupt"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.irq"
end="sys_uart.irq">
<parameter name="irqNumber" value="2" />
</connection>
<connection
kind="interrupt"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.irq"
end="sys_timer.irq">
<parameter name="irqNumber" value="0" />
</connection>
2015-05-19 19:12:23 +00:00
<connection kind="interrupt" version="15.0" start="sys_cpu.irq" end="sys_spi.irq">
2015-05-11 14:17:07 +00:00
<parameter name="irqNumber" value="4" />
</connection>
<connection
kind="interrupt"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_cpu.irq"
2015-05-14 18:35:20 +00:00
end="sys_gpio_bd.irq">
2015-05-11 14:17:07 +00:00
<parameter name="irqNumber" value="5" />
</connection>
2015-05-14 18:35:20 +00:00
<connection
kind="interrupt"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_cpu.irq"
end="sys_gpio.irq">
<parameter name="irqNumber" value="8" />
</connection>
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk_reset"
end="sys_xcvr_tx_ref_clk.clk_in_reset" />
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk_reset"
end="sys_xcvr_rx_ref_clk.clk_in_reset" />
2015-05-11 14:17:07 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
2015-05-11 15:55:50 +00:00
end="sys_ddr3_cntrl.global_reset_reset_sink" />
2015-05-11 14:17:07 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
2015-05-14 18:35:20 +00:00
end="sys_xcvr.jesd204_rx_avs_rst_n" />
2015-05-11 14:17:07 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
2015-05-14 18:35:20 +00:00
end="sys_xcvr.jesd204_tx_avs_rst_n" />
2015-05-11 14:17:07 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
2015-05-14 18:35:20 +00:00
end="axi_ad9680_dma.m_dest_axi_reset" />
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk_reset"
end="axi_ad9144_dma.m_src_axi_reset" />
2015-05-11 14:17:07 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
end="sys_cpu.reset" />
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
end="sys_uart.reset" />
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
end="sys_timer.reset" />
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
end="sys_id.reset" />
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
2015-05-14 18:35:20 +00:00
end="sys_gpio_bd.reset" />
2015-05-11 14:17:07 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
end="sys_spi.reset" />
2015-05-11 15:55:50 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 15:55:50 +00:00
start="sys_clk.clk_reset"
2015-05-14 18:35:20 +00:00
end="sys_gpio.reset" />
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk_reset"
end="sys_xcvr_rstcntrl.reset" />
2015-05-11 14:17:07 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
2015-05-14 18:35:20 +00:00
end="sys_xcvr_tx_clk.reset" />
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk_reset"
end="sys_xcvr_rx_clk.reset" />
2015-05-11 14:17:07 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
end="sys_int_mem.reset1" />
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
end="sys_tcm_mem.reset1" />
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
end="sys_int_mem.reset2" />
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
end="sys_tcm_mem.reset2" />
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
end="sys_ethernet.reset_connection" />
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
end="sys_ethernet_dma_rx.reset_n" />
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
end="sys_ethernet_dma_tx.reset_n" />
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
2015-05-14 18:35:20 +00:00
end="sys_xcvr.rxlink_rst_n" />
2015-05-11 14:17:07 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
2015-05-14 18:35:20 +00:00
end="axi_ad9680_dma.s_axi_reset" />
2015-05-11 14:17:07 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
2015-05-14 18:35:20 +00:00
end="axi_ad9144_dma.s_axi_reset" />
2015-05-11 14:17:07 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
2015-05-14 18:35:20 +00:00
end="axi_ad9680_core.s_axi_reset" />
2015-05-11 14:17:07 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
2015-05-14 18:35:20 +00:00
end="axi_ad9144_core.s_axi_reset" />
2015-05-11 14:17:07 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
2015-05-14 18:35:20 +00:00
end="sys_xcvr.txlink_rst_n" />
2015-05-11 14:17:07 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 15:55:50 +00:00
start="sys_cpu.debug_reset_request"
end="sys_cpu.reset" />
2015-05-11 14:17:07 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 15:55:50 +00:00
start="sys_ddr3_cntrl.emif_usr_reset_reset_source"
2015-05-14 18:35:20 +00:00
end="axi_ad9680_dma.m_dest_axi_reset" />
2015-05-11 15:55:50 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 15:55:50 +00:00
start="sys_ddr3_cntrl.emif_usr_reset_reset_source"
2015-05-14 18:35:20 +00:00
end="axi_ad9144_dma.m_src_axi_reset" />
2015-05-11 14:17:07 +00:00
<interconnectRequirement for="$system" name="qsys_mm.clockCrossingAdapter" value="FIFO" />
<interconnectRequirement for="$system" name="qsys_mm.insertDefaultSlave" value="false" />
<interconnectRequirement for="$system" name="qsys_mm.maxAdditionalLatency" value="2" />
</system>