2014-03-06 16:16:02 +00:00
|
|
|
// ***************************************************************************
|
|
|
|
// ***************************************************************************
|
2017-05-17 08:44:52 +00:00
|
|
|
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
|
|
|
|
//
|
2017-05-31 15:15:24 +00:00
|
|
|
// In this HDL repository, there are many different and unique modules, consisting
|
|
|
|
// of various HDL (Verilog or VHDL) components. The individual modules are
|
|
|
|
// developed independently, and may be accompanied by separate and unique license
|
|
|
|
// terms.
|
|
|
|
//
|
|
|
|
// The user should read each of these license terms, and understand the
|
2018-03-14 14:45:47 +00:00
|
|
|
// freedoms and responsibilities that he or she has by using this source/core.
|
2017-05-31 15:15:24 +00:00
|
|
|
//
|
|
|
|
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
|
2017-05-29 06:55:41 +00:00
|
|
|
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
|
|
|
|
// A PARTICULAR PURPOSE.
|
2017-05-17 08:44:52 +00:00
|
|
|
//
|
2017-05-29 06:55:41 +00:00
|
|
|
// Redistribution and use of source or resulting binaries, with or without modification
|
|
|
|
// of this file, are permitted under one of the following two license terms:
|
2017-05-17 08:44:52 +00:00
|
|
|
//
|
|
|
|
// 1. The GNU General Public License version 2 as published by the
|
2017-05-31 15:15:24 +00:00
|
|
|
// Free Software Foundation, which can be found in the top level directory
|
|
|
|
// of this repository (LICENSE_GPL2), and also online at:
|
|
|
|
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
|
2017-05-17 08:44:52 +00:00
|
|
|
//
|
|
|
|
// OR
|
|
|
|
//
|
2017-05-31 15:15:24 +00:00
|
|
|
// 2. An ADI specific BSD license, which can be found in the top level directory
|
|
|
|
// of this repository (LICENSE_ADIBSD), and also on-line at:
|
2017-05-29 06:55:41 +00:00
|
|
|
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
|
|
|
|
// This will allow to generate bit files and not release the source code,
|
|
|
|
// as long as it attaches to an ADI device.
|
2014-03-06 16:16:02 +00:00
|
|
|
//
|
|
|
|
// ***************************************************************************
|
|
|
|
// ***************************************************************************
|
|
|
|
|
2017-07-15 07:52:12 +00:00
|
|
|
module dmac_data_mover #(
|
|
|
|
|
|
|
|
parameter ID_WIDTH = 3,
|
|
|
|
parameter DATA_WIDTH = 64,
|
2018-05-28 10:50:53 +00:00
|
|
|
parameter BEATS_PER_BURST_WIDTH = 4,
|
|
|
|
parameter ALLOW_ABORT = 0) (
|
2017-07-15 07:52:12 +00:00
|
|
|
|
2016-10-01 15:13:42 +00:00
|
|
|
input clk,
|
|
|
|
input resetn,
|
2014-03-06 16:16:02 +00:00
|
|
|
|
2016-10-01 15:13:42 +00:00
|
|
|
input [ID_WIDTH-1:0] request_id,
|
|
|
|
output [ID_WIDTH-1:0] response_id,
|
|
|
|
input eot,
|
2014-03-06 16:16:02 +00:00
|
|
|
|
2018-07-27 14:06:53 +00:00
|
|
|
output reg bl_valid = 'b0,
|
|
|
|
input bl_ready,
|
|
|
|
output reg [BEATS_PER_BURST_WIDTH-1:0] measured_last_burst_length,
|
|
|
|
|
2018-08-03 12:52:55 +00:00
|
|
|
output [ID_WIDTH-1:0] source_id,
|
|
|
|
output source_eot,
|
|
|
|
|
2016-10-01 15:13:42 +00:00
|
|
|
output xfer_req,
|
2014-07-02 14:03:18 +00:00
|
|
|
|
2016-10-01 15:13:42 +00:00
|
|
|
output s_axi_ready,
|
|
|
|
input s_axi_valid,
|
|
|
|
input [DATA_WIDTH-1:0] s_axi_data,
|
2018-05-28 10:50:53 +00:00
|
|
|
input s_axi_last,
|
2018-05-28 10:32:18 +00:00
|
|
|
input s_axi_sync,
|
2014-03-06 16:16:02 +00:00
|
|
|
|
2016-10-01 15:13:42 +00:00
|
|
|
output m_axi_valid,
|
|
|
|
output [DATA_WIDTH-1:0] m_axi_data,
|
|
|
|
output m_axi_last,
|
2018-08-10 14:47:21 +00:00
|
|
|
output m_axi_partial_burst,
|
2014-03-06 16:16:02 +00:00
|
|
|
|
2016-10-01 15:13:42 +00:00
|
|
|
input req_valid,
|
|
|
|
output req_ready,
|
2018-05-28 10:32:18 +00:00
|
|
|
input [BEATS_PER_BURST_WIDTH-1:0] req_last_burst_length,
|
2018-05-28 10:50:53 +00:00
|
|
|
input req_sync_transfer_start,
|
|
|
|
input req_xlast
|
2014-03-06 16:16:02 +00:00
|
|
|
);
|
|
|
|
|
2017-07-17 13:14:14 +00:00
|
|
|
localparam BEAT_COUNTER_MAX = {BEATS_PER_BURST_WIDTH{1'b1}};
|
2014-03-06 16:16:02 +00:00
|
|
|
|
2018-06-28 11:14:14 +00:00
|
|
|
`include "inc_id.vh"
|
2014-03-06 16:16:02 +00:00
|
|
|
|
2015-08-19 11:11:47 +00:00
|
|
|
reg [BEATS_PER_BURST_WIDTH-1:0] last_burst_length = 'h00;
|
|
|
|
reg [BEATS_PER_BURST_WIDTH-1:0] beat_counter = 'h00;
|
2018-07-27 14:06:53 +00:00
|
|
|
reg [BEATS_PER_BURST_WIDTH-1:0] beat_counter_minus_one = 'h0;
|
2015-08-19 11:11:47 +00:00
|
|
|
reg [ID_WIDTH-1:0] id = 'h00;
|
|
|
|
reg [ID_WIDTH-1:0] id_next = 'h00;
|
2014-03-13 12:20:10 +00:00
|
|
|
|
|
|
|
reg pending_burst = 1'b0;
|
|
|
|
reg active = 1'b0;
|
2014-04-08 09:07:20 +00:00
|
|
|
reg last_eot = 1'b0;
|
|
|
|
reg last_non_eot = 1'b0;
|
2014-03-13 12:20:10 +00:00
|
|
|
|
2018-05-28 10:32:18 +00:00
|
|
|
reg needs_sync = 1'b0;
|
|
|
|
wire has_sync = ~needs_sync | s_axi_sync;
|
|
|
|
|
|
|
|
wire s_axi_sync_valid = has_sync & s_axi_valid;
|
2018-05-28 10:50:53 +00:00
|
|
|
wire transfer_abort_s;
|
2018-05-28 10:32:18 +00:00
|
|
|
|
2014-01-29 12:35:17 +00:00
|
|
|
wire last_load;
|
2014-04-08 09:07:20 +00:00
|
|
|
wire last;
|
2014-03-06 16:16:02 +00:00
|
|
|
|
2014-07-02 14:03:18 +00:00
|
|
|
assign xfer_req = active;
|
|
|
|
|
2014-03-06 16:16:02 +00:00
|
|
|
assign response_id = id;
|
|
|
|
|
2018-08-03 12:52:55 +00:00
|
|
|
assign source_id = id;
|
|
|
|
assign source_eot = eot;
|
|
|
|
|
2014-04-08 09:07:20 +00:00
|
|
|
assign last = eot ? last_eot : last_non_eot;
|
2014-03-06 16:16:02 +00:00
|
|
|
|
2018-05-28 10:50:53 +00:00
|
|
|
assign s_axi_ready = (pending_burst & active) & ~transfer_abort_s;
|
|
|
|
assign m_axi_valid = (s_axi_sync_valid | transfer_abort_s) & pending_burst & active;
|
|
|
|
assign m_axi_data = transfer_abort_s == 1'b1 ? {DATA_WIDTH{1'b0}} : s_axi_data;
|
2018-05-24 13:33:21 +00:00
|
|
|
assign m_axi_last = last;
|
2018-05-28 10:50:53 +00:00
|
|
|
|
|
|
|
generate if (ALLOW_ABORT == 1) begin
|
|
|
|
reg transfer_abort = 1'b0;
|
|
|
|
reg req_xlast_d = 1'b0;
|
|
|
|
|
|
|
|
/*
|
|
|
|
* A 'last' on the external interface indicates the end of an packet. If such a
|
|
|
|
* 'last' indicator is observed before the end of the current transfer stop
|
|
|
|
* accepting data on the external interface and complete the current transfer by
|
|
|
|
* writing zeros to the buffer.
|
|
|
|
*/
|
|
|
|
always @(posedge clk) begin
|
|
|
|
if (resetn == 1'b0) begin
|
|
|
|
transfer_abort <= 1'b0;
|
|
|
|
end else if (m_axi_valid == 1'b1) begin
|
|
|
|
if (last == 1'b1 && eot == 1'b1 && req_xlast_d == 1'b1) begin
|
|
|
|
transfer_abort <= 1'b0;
|
|
|
|
end else if (s_axi_last == 1'b1) begin
|
|
|
|
transfer_abort <= 1'b1;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
|
|
|
if (req_ready == 1'b1) begin
|
|
|
|
req_xlast_d <= req_xlast;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
assign transfer_abort_s = transfer_abort;
|
2018-08-10 14:47:21 +00:00
|
|
|
assign m_axi_partial_burst = (transfer_abort == 1'b0) && (s_axi_last == 1'b1) &&
|
|
|
|
!(last == 1'b1 && eot == 1'b1 && req_xlast_d == 1'b1);
|
2018-05-28 10:50:53 +00:00
|
|
|
|
|
|
|
end else begin
|
|
|
|
assign transfer_abort_s = 1'b0;
|
2018-08-10 14:47:21 +00:00
|
|
|
assign m_axi_partial_burst = 1'b0;
|
2018-05-28 10:50:53 +00:00
|
|
|
end endgenerate
|
2018-05-28 10:32:18 +00:00
|
|
|
|
|
|
|
/*
|
|
|
|
* If req_sync_transfer_start is set all incoming beats will be skipped until
|
|
|
|
* one has s_axi_sync set. This will be the first beat that is passsed through.
|
|
|
|
*/
|
|
|
|
always @(posedge clk) begin
|
2018-05-28 10:50:53 +00:00
|
|
|
if (m_axi_valid == 1'b1) begin
|
2018-05-28 10:32:18 +00:00
|
|
|
needs_sync <= 1'b0;
|
|
|
|
end else if (req_ready == 1'b1) begin
|
|
|
|
needs_sync <= req_sync_transfer_start;
|
|
|
|
end
|
|
|
|
end
|
2014-03-06 16:16:02 +00:00
|
|
|
|
2014-01-29 12:35:17 +00:00
|
|
|
// If we want to support zero delay between transfers we have to assert
|
|
|
|
// req_ready on the same cycle on which the last load happens.
|
2018-05-28 10:50:53 +00:00
|
|
|
assign last_load = m_axi_valid && last_eot && eot;
|
2014-01-29 12:35:17 +00:00
|
|
|
assign req_ready = last_load || ~active;
|
|
|
|
|
2014-03-20 16:22:18 +00:00
|
|
|
always @(posedge clk) begin
|
2016-10-01 15:13:42 +00:00
|
|
|
if (req_ready) begin
|
2017-07-15 07:52:12 +00:00
|
|
|
last_eot <= req_last_burst_length == 'h0;
|
2016-10-01 15:13:42 +00:00
|
|
|
last_non_eot <= 1'b0;
|
|
|
|
beat_counter <= 'h1;
|
2018-05-28 10:50:53 +00:00
|
|
|
end else if (m_axi_valid == 1'b1) begin
|
2016-10-01 15:13:42 +00:00
|
|
|
last_eot <= beat_counter == last_burst_length;
|
2017-07-17 13:14:14 +00:00
|
|
|
last_non_eot <= beat_counter == BEAT_COUNTER_MAX;
|
|
|
|
beat_counter <= beat_counter + 1'b1;
|
2016-10-01 15:13:42 +00:00
|
|
|
end
|
2014-03-06 16:16:02 +00:00
|
|
|
end
|
|
|
|
|
2014-01-29 12:35:17 +00:00
|
|
|
always @(posedge clk) begin
|
2016-10-01 15:13:42 +00:00
|
|
|
if (req_ready)
|
|
|
|
last_burst_length <= req_last_burst_length;
|
2014-01-29 12:35:17 +00:00
|
|
|
end
|
|
|
|
|
2018-07-27 14:06:53 +00:00
|
|
|
always @(posedge clk) begin
|
|
|
|
if (req_ready) begin
|
|
|
|
beat_counter_minus_one <= 'h0;
|
|
|
|
end else if (m_axi_valid == 1'b1) begin
|
|
|
|
beat_counter_minus_one <= beat_counter;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
|
|
|
if (last_load) begin
|
|
|
|
bl_valid <= 1'b1;
|
|
|
|
measured_last_burst_length <= beat_counter_minus_one;
|
|
|
|
end else if (bl_ready) begin
|
|
|
|
bl_valid <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
|
2014-01-29 12:35:17 +00:00
|
|
|
always @(posedge clk) begin
|
2017-09-21 14:02:44 +00:00
|
|
|
if (resetn == 1'b0) begin
|
2016-10-01 15:13:42 +00:00
|
|
|
active <= 1'b0;
|
2017-09-21 14:02:44 +00:00
|
|
|
end else if (req_valid == 1'b1) begin
|
2016-10-01 15:13:42 +00:00
|
|
|
active <= 1'b1;
|
2017-09-21 14:02:44 +00:00
|
|
|
end else if (last_load == 1'b1) begin
|
2016-10-01 15:13:42 +00:00
|
|
|
active <= 1'b0;
|
|
|
|
end
|
2014-01-29 12:35:17 +00:00
|
|
|
end
|
|
|
|
|
2014-03-06 16:16:02 +00:00
|
|
|
always @(*)
|
|
|
|
begin
|
2018-05-28 10:50:53 +00:00
|
|
|
if (m_axi_valid == 1'b1 && last == 1'b1)
|
2016-10-01 15:13:42 +00:00
|
|
|
id_next <= inc_id(id);
|
|
|
|
else
|
|
|
|
id_next <= id;
|
2014-03-06 16:16:02 +00:00
|
|
|
end
|
|
|
|
|
|
|
|
always @(posedge clk) begin
|
2016-10-01 15:13:42 +00:00
|
|
|
if (resetn == 1'b0) begin
|
|
|
|
id <= 'h0;
|
|
|
|
end else begin
|
|
|
|
id <= id_next;
|
|
|
|
end
|
2014-03-06 16:16:02 +00:00
|
|
|
end
|
|
|
|
|
2014-04-08 09:07:20 +00:00
|
|
|
always @(posedge clk) begin
|
2016-10-01 15:13:42 +00:00
|
|
|
pending_burst <= id_next != request_id;
|
2014-04-08 09:07:20 +00:00
|
|
|
end
|
|
|
|
|
2014-03-06 16:16:02 +00:00
|
|
|
endmodule
|