pluto_hdl_adi/library/axi_ad9144/axi_ad9144_constr.xdc

10 lines
319 B
Plaintext
Raw Normal View History

2014-08-11 20:34:26 +00:00
create_clock -period [expr 1000/250] -name tx_clk [get_ports tx_clk]
create_clock -period [expr 1000/100] -name s_axi_aclk [get_ports s_axi_aclk]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports tx_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]
2014-08-11 20:34:26 +00:00