pluto_hdl_adi/library/axi_ad9144/axi_ad9144_constr.xdc

7 lines
172 B
Plaintext
Raw Normal View History

2014-08-11 20:34:26 +00:00
2014-10-17 17:57:09 +00:00
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports tx_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]
2014-08-11 20:34:26 +00:00