pluto_hdl_adi/library/axi_ad9144/axi_ad9144_constr.xdc

9 lines
229 B
Plaintext
Raw Normal View History

2014-08-11 20:34:26 +00:00
set ip_dac_clk [get_clocks -of_objects [get_ports dac_clk]]
set ip_cpu_clk [get_clocks -of_objects [get_ports s_axi_aclk]]
set_false_path -from $ip_dac_clk -to $ip_cpu_clk
set_false_path -from $ip_cpu_clk -to $ip_dac_clk