Simple-Spectrum-Analyzer/SpectrumAnalyzer_FFT_windows/window_tb_behav.wcfg

70 lines
3.2 KiB
Plaintext
Raw Normal View History

2023-04-30 06:22:28 +00:00
<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
<wave_state>
</wave_state>
<db_ref_list>
<db_ref path="window_tb_behav.wdb" id="1">
<top_modules>
<top_module name="glbl" />
<top_module name="window_tb" />
</top_modules>
</db_ref>
</db_ref_list>
<zoom_setting>
<ZoomStartTime time="32756400000fs"></ZoomStartTime>
<ZoomEndTime time="32958400001fs"></ZoomEndTime>
<Cursor1Time time="32822000000fs"></Cursor1Time>
</zoom_setting>
<column_width_setting>
<NameColumnWidth column_width="404"></NameColumnWidth>
<ValueColumnWidth column_width="162"></ValueColumnWidth>
</column_width_setting>
<WVObjectSize size="11" />
<wvobject fp_name="/window_tb/clk" type="logic">
<obj_property name="ElementShortName">clk</obj_property>
<obj_property name="ObjectShortName">clk</obj_property>
</wvobject>
<wvobject fp_name="/window_tb/rst" type="logic">
<obj_property name="ElementShortName">rst</obj_property>
<obj_property name="ObjectShortName">rst</obj_property>
</wvobject>
<wvobject fp_name="/window_tb/adc_in" type="array">
<obj_property name="ElementShortName">adc_in[31:0]</obj_property>
<obj_property name="ObjectShortName">adc_in[31:0]</obj_property>
</wvobject>
<wvobject fp_name="/window_tb/win_out" type="array">
<obj_property name="ElementShortName">win_out[31:0]</obj_property>
<obj_property name="ObjectShortName">win_out[31:0]</obj_property>
</wvobject>
<wvobject fp_name="/window_tb/m_axis_data_tlast" type="logic">
<obj_property name="ElementShortName">m_axis_data_tlast</obj_property>
<obj_property name="ObjectShortName">m_axis_data_tlast</obj_property>
</wvobject>
<wvobject fp_name="/window_tb/m_axis_data_tvalid" type="logic">
<obj_property name="ElementShortName">m_axis_data_tvalid</obj_property>
<obj_property name="ObjectShortName">m_axis_data_tvalid</obj_property>
</wvobject>
<wvobject fp_name="/window_tb/u_window/ena" type="logic">
<obj_property name="ElementShortName">ena</obj_property>
<obj_property name="ObjectShortName">ena</obj_property>
</wvobject>
<wvobject fp_name="/window_tb/u_window/addra" type="array">
<obj_property name="ElementShortName">addra[12:0]</obj_property>
<obj_property name="ObjectShortName">addra[12:0]</obj_property>
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject fp_name="/window_tb/u_window/douta" type="array">
<obj_property name="ElementShortName">douta[31:0]</obj_property>
<obj_property name="ObjectShortName">douta[31:0]</obj_property>
</wvobject>
<wvobject fp_name="/window_tb/u_window/s_axis_tvalid" type="logic">
<obj_property name="ElementShortName">s_axis_tvalid</obj_property>
<obj_property name="ObjectShortName">s_axis_tvalid</obj_property>
</wvobject>
<wvobject fp_name="/window_tb/u_window/num_win" type="array">
<obj_property name="ElementShortName">num_win[13:0]</obj_property>
<obj_property name="ObjectShortName">num_win[13:0]</obj_property>
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
</wvobject>
</wave_config>