From a2bd3be25177ca213d7b6c120aa0a48b3f0a03ad Mon Sep 17 00:00:00 2001 From: 18650180552 Date: Sun, 2 Feb 2020 01:56:17 +0800 Subject: [PATCH] =?UTF-8?q?shit,=E7=94=A851=E5=8D=95=E7=89=87=E6=9C=BA?= =?UTF-8?q?=E6=9D=A5h=E5=92=8Cesp8266=20AT=E6=8C=87=E4=BB=A4=E9=9B=86?= =?UTF-8?q?=E9=80=9A=E4=BF=A1=EF=BC=8Cshit.=E4=B8=94low=E9=80=BC=E5=BE=97?= =?UTF-8?q?=E9=A1=B9=E7=9B=AE?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- 485/nuc120/485.uvoptx | 250 +++ 485/nuc120/485.uvprojx | 484 ++++++ 485/nuc120/EventRecorderStub.scvd | 9 + 485/nuc120/Listings/485.map | 975 ++++++++++++ 485/nuc120/Objects/485.axf | Bin 0 -> 196224 bytes 485/nuc120/Objects/485.lnp | 13 + .../Device/NUC120RD3AN/startup_NUC100Series.s | 409 +++++ .../Device/NUC120RD3AN/system_NUC100Series.c | 74 + 485/nuc120/RTE/_Target_1/RTE_Components.h | 31 + 485/nuc120/main.c | 224 +++ c51/relay_controller/STARTUP.OBJ | Bin 0 -> 758 bytes c51/relay_controller/main.__i | 2 +- c51/relay_controller/main.c | 124 +- c51/relay_controller/relay | Bin 8957 -> 0 bytes c51/relay_controller/relay.M51 | 487 +++--- c51/relay_controller/relay.hex | 116 +- c51/relay_controller/relay.plg | 964 ++++++----- .../relay.uvgui.Administrator | 1412 ----------------- .../Listings/roboticarm_controller.map | 188 +-- .../Objects/roboticarm_controller.axf | Bin 349868 -> 319924 bytes .../Objects/roboticarm_controller.lnp | 2 +- .../Objects/roboticarm_controller.sct | 1 + .../Objects/roboticarm_controller_sct.Bak | 15 + .../RTE/_Target_1/RTE_Components.h | 8 +- .../roboticarm_controller.uvoptx | 4 +- .../roboticarm_controller.uvprojx | 1 + 26 files changed, 3512 insertions(+), 2281 deletions(-) create mode 100644 485/nuc120/485.uvoptx create mode 100644 485/nuc120/485.uvprojx create mode 100644 485/nuc120/EventRecorderStub.scvd create mode 100644 485/nuc120/Listings/485.map create mode 100644 485/nuc120/Objects/485.axf create mode 100644 485/nuc120/Objects/485.lnp create mode 100644 485/nuc120/RTE/Device/NUC120RD3AN/startup_NUC100Series.s create mode 100644 485/nuc120/RTE/Device/NUC120RD3AN/system_NUC100Series.c create mode 100644 485/nuc120/RTE/_Target_1/RTE_Components.h create mode 100644 485/nuc120/main.c create mode 100644 c51/relay_controller/STARTUP.OBJ delete mode 100644 c51/relay_controller/relay delete mode 100644 c51/relay_controller/relay.uvgui.Administrator create mode 100644 nuvoton/m451/roboticarm_controller/Objects/roboticarm_controller_sct.Bak diff --git a/485/nuc120/485.uvoptx b/485/nuc120/485.uvoptx new file mode 100644 index 0000000..29c6983 --- /dev/null +++ b/485/nuc120/485.uvoptx @@ -0,0 +1,250 @@ + + + + 1.0 + +
### uVision Project, (C) Keil Software
+ + + *.c + *.s*; *.src; *.a* + *.obj; *.o + *.lib + *.txt; *.h; *.inc + *.plm + *.cpp + 0 + + + + 0 + 0 + + + + Target 1 + 0x4 + ARM-ADS + + 12000000 + + 1 + 1 + 0 + 1 + 0 + + + 1 + 65535 + 0 + 0 + 0 + + + 79 + 66 + 8 + .\Listings\ + + + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + + + 1 + 0 + 1 + + 6 + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + 0 + 0 + 7 + + + + + + + + + + + NULink\Nu_Link.dll + + + + 0 + ARMRTXEVENTFLAGS + -L70 -Z18 -C0 -M0 -T1 + + + 0 + DLGTARM + (1010=-1,-1,-1,-1,0)(1007=105,177,312,398,0)(1008=-1,-1,-1,-1,0) + + + 0 + ARMDBGFLAGS + + + + 0 + Nu_Link + + + + 0 + UL2CM3 + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN1 -FF0NUC100_AP_64 -FS00 -FL010000 -FP0($$Device:NUC120RD3AN$Flash\NUC100_AP_64.FLM)) + + + + + 0 + + + 0 + 1 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + 0 + 0 + 0 + + + + + + + + + + + System Viewer\CLK + 35903 + + + System Viewer\GCR + 35904 + + + System Viewer\UART1 + 35905 + + + + + + + src + 1 + 0 + 0 + 0 + + 1 + 1 + 1 + 0 + 0 + 0 + .\main.c + main.c + 0 + 0 + + + + + ::CMSIS + 0 + 0 + 0 + 1 + + + + ::CMSIS Driver + 0 + 0 + 0 + 1 + + + + ::Device + 1 + 0 + 0 + 1 + + +
diff --git a/485/nuc120/485.uvprojx b/485/nuc120/485.uvprojx new file mode 100644 index 0000000..87ee49e --- /dev/null +++ b/485/nuc120/485.uvprojx @@ -0,0 +1,484 @@ + + + + 2.1 + +
### uVision Project, (C) Keil Software
+ + + + Target 1 + 0x4 + ARM-ADS + 5060750::V5.06 update 6 (build 750)::ARMCC + 0 + + + NUC120RD3AN + Nuvoton + Nuvoton.NuMicro_DFP.1.2.0 + http://www.nuvoton.com/hq/enu/Documents/KEILSoftwarePack + IRAM(0x20000000,0x4000) IROM(0x00000000,0x10000) CPUTYPE("Cortex-M0") CLOCK(12000000) + + + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN1 -FF0NUC100_AP_64 -FS00 -FL010000 -FP0($$Device:NUC120RD3AN$Flash\NUC100_AP_64.FLM)) + 0 + $$Device:NUC120RD3AN$Device\NUC100\Include\NUC100Series.h + + + + + + + + + + $$Device:NUC120RD3AN$SVD\Nuvoton\NUC100BN_v1.svd + 0 + 0 + + + + + + + 0 + 0 + 0 + 0 + 1 + + .\Objects\ + 485 + 1 + 0 + 0 + 1 + 1 + .\Listings\ + 1 + 0 + 0 + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + 1 + + + SARMCM3.DLL + + DARMCM1.DLL + -pCM0 + SARMCM3.DLL + + TARMCM1.DLL + -pCM0 + + + + 1 + 0 + 0 + 0 + 16 + + + + + 1 + 0 + 0 + 1 + 1 + -1 + + 1 + BIN\UL2CM3.DLL + + + + + + 0 + + + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + "Cortex-M0" + + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 8 + 0 + 0 + 0 + 0 + 3 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0x4000 + + + 1 + 0x0 + 0x10000 + + + 0 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x10000 + + + 1 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0x4000 + + + 0 + 0x0 + 0x0 + + + + + + 1 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 1 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 0 + + + + + + + + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + + + + + + 0 + 0 + 0 + 0 + 1 + 0 + 0x00000000 + 0x20000000 + + + + + + + + + + + + + src + + + main.c + 1 + .\main.c + + + + + ::CMSIS + + + ::CMSIS Driver + + + ::Device + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + RTE\Device\NUC120RD3AN\startup_NUC100Series.s + + + + + + + + RTE\Device\NUC120RD3AN\system_NUC100Series.c + + + + + + + + + +
diff --git a/485/nuc120/EventRecorderStub.scvd b/485/nuc120/EventRecorderStub.scvd new file mode 100644 index 0000000..2956b29 --- /dev/null +++ b/485/nuc120/EventRecorderStub.scvd @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/485/nuc120/Listings/485.map b/485/nuc120/Listings/485.map new file mode 100644 index 0000000..cb2d469 --- /dev/null +++ b/485/nuc120/Listings/485.map @@ -0,0 +1,975 @@ +Component: ARM Compiler 5.06 update 6 (build 750) Tool: armlink [4d35ed] + +============================================================================== + +Section Cross References + + main.o(i.SYS_Init) refers to clk.o(i.CLK_EnableXtalRC) for CLK_EnableXtalRC + main.o(i.SYS_Init) refers to clk.o(i.CLK_WaitClockReady) for CLK_WaitClockReady + main.o(i.SYS_Init) refers to clk.o(i.CLK_SetHCLK) for CLK_SetHCLK + main.o(i.SYS_Init) refers to clk.o(i.CLK_EnableModuleClock) for CLK_EnableModuleClock + main.o(i.UART02_IRQHandler) refers to main.o(i.UART_TEST_HANDLE) for UART_TEST_HANDLE + main.o(i.UART1_Init) refers to sys.o(i.SYS_ResetModule) for SYS_ResetModule + main.o(i.UART1_Init) refers to uart.o(i.UART_Open) for UART_Open + main.o(i.UART_FunctionTest) refers to noretval__2printf.o(.text) for __2printf + main.o(i.UART_FunctionTest) refers to uart.o(i.UART_EnableInt) for UART_EnableInt + main.o(i.UART_FunctionTest) refers to uart.o(i.UART_DisableInt) for UART_DisableInt + main.o(i.UART_FunctionTest) refers to main.o(.data) for g_bWait + main.o(i.UART_TEST_HANDLE) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent + main.o(i.UART_TEST_HANDLE) refers to _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) for _printf_c + main.o(i.UART_TEST_HANDLE) refers to _printf_str.o(.text) for _printf_str + main.o(i.UART_TEST_HANDLE) refers to noretval__2printf.o(.text) for __2printf + main.o(i.UART_TEST_HANDLE) refers to main.o(.data) for g_bWait + main.o(i.UART_TEST_HANDLE) refers to main.o(.bss) for g_u8RecData + main.o(i.main) refers to main.o(i.SYS_Init) for SYS_Init + main.o(i.main) refers to main.o(i.UART1_Init) for UART1_Init + main.o(i.main) refers to uart.o(i.UART_Write) for UART_Write + main.o(i.main) refers to main.o(i.delay_ms) for delay_ms + clk.o(i.CLK_DisableCKO) refers to clk.o(i.CLK_DisableModuleClock) for CLK_DisableModuleClock + clk.o(i.CLK_DisableModuleClock) refers to clk.o(.constdata) for .constdata + clk.o(i.CLK_EnableCKO) refers to clk.o(i.CLK_EnableModuleClock) for CLK_EnableModuleClock + clk.o(i.CLK_EnableCKO) refers to clk.o(i.CLK_SetModuleClock) for CLK_SetModuleClock + clk.o(i.CLK_EnableModuleClock) refers to clk.o(.constdata) for .constdata + clk.o(i.CLK_EnablePLL) refers to clk.o(i.CLK_WaitClockReady) for CLK_WaitClockReady + clk.o(i.CLK_EnablePLL) refers to aeabi_sdiv.o(.text) for __aeabi_uidivmod + clk.o(i.CLK_EnablePLL) refers to clk.o(i.CLK_GetPLLClockFreq) for CLK_GetPLLClockFreq + clk.o(i.CLK_GetCPUFreq) refers to system_nuc100series.o(i.SystemCoreClockUpdate) for SystemCoreClockUpdate + clk.o(i.CLK_GetCPUFreq) refers to system_nuc100series.o(.data) for SystemCoreClock + clk.o(i.CLK_GetHCLKFreq) refers to system_nuc100series.o(i.SystemCoreClockUpdate) for SystemCoreClockUpdate + clk.o(i.CLK_GetHCLKFreq) refers to system_nuc100series.o(.data) for SystemCoreClock + clk.o(i.CLK_GetPCLKFreq) refers to system_nuc100series.o(i.SystemCoreClockUpdate) for SystemCoreClockUpdate + clk.o(i.CLK_GetPCLKFreq) refers to system_nuc100series.o(.data) for SystemCoreClock + clk.o(i.CLK_GetPLLClockFreq) refers to aeabi_sdiv.o(.text) for __aeabi_uidivmod + clk.o(i.CLK_SetCoreClock) refers to clk.o(i.CLK_EnablePLL) for CLK_EnablePLL + clk.o(i.CLK_SetCoreClock) refers to clk.o(i.CLK_SetHCLK) for CLK_SetHCLK + clk.o(i.CLK_SetHCLK) refers to system_nuc100series.o(i.SystemCoreClockUpdate) for SystemCoreClockUpdate + clk.o(i.CLK_SetModuleClock) refers to clk.o(.constdata) for .constdata + retarget.o(.emb_text) refers to retarget.o(i.Hard_Fault_Handler) for Hard_Fault_Handler + retarget.o(i.Hard_Fault_Handler) refers to noretval__2printf.o(.text) for __2printf + retarget.o(i.Hard_Fault_Handler) refers to retarget.o(i.stackDump) for stackDump + retarget.o(i.SendChar) refers to retarget.o(i.SendChar_ToUART) for SendChar_ToUART + retarget.o(i._ttywrch) refers to retarget.o(i.SendChar) for SendChar + retarget.o(i.fgetc) refers to retarget.o(i.GetChar) for GetChar + retarget.o(i.fputc) refers to retarget.o(i.SendChar) for SendChar + retarget.o(i.stackDump) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent + retarget.o(i.stackDump) refers to _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) for _printf_x + retarget.o(i.stackDump) refers to _printf_hex_int.o(.text) for _printf_longlong_hex + retarget.o(i.stackDump) refers to noretval__2printf.o(.text) for __2printf + timer.o(i.TIMER_Delay) refers to timer.o(i.TIMER_GetModuleClock) for TIMER_GetModuleClock + timer.o(i.TIMER_Delay) refers to aeabi_sdiv.o(.text) for __aeabi_uidivmod + timer.o(i.TIMER_Delay) refers to system_nuc100series.o(.data) for SystemCoreClock + timer.o(i.TIMER_GetModuleClock) refers to rt_memcpy.o(.emb_text) for __aeabi_memcpy4 + timer.o(i.TIMER_GetModuleClock) refers to timer.o(.constdata) for .constdata + timer.o(i.TIMER_GetModuleClock) refers to system_nuc100series.o(.data) for SystemCoreClock + timer.o(i.TIMER_Open) refers to timer.o(i.TIMER_GetModuleClock) for TIMER_GetModuleClock + timer.o(i.TIMER_Open) refers to aeabi_sdiv.o(.text) for __aeabi_uidivmod + uart.o(i.CLK_GetPLLClockFreq) refers to aeabi_sdiv.o(.text) for __aeabi_uidivmod + uart.o(i.UART_DisableInt) refers to uart.o(i.__NVIC_DisableIRQ) for __NVIC_DisableIRQ + uart.o(i.UART_EnableInt) refers to uart.o(i.__NVIC_EnableIRQ) for __NVIC_EnableIRQ + uart.o(i.UART_Open) refers to uart.o(i.CLK_GetPLLClockFreq) for CLK_GetPLLClockFreq + uart.o(i.UART_Open) refers to aeabi_sdiv.o(.text) for __aeabi_uidivmod + uart.o(i.UART_Open) refers to uart.o(.constdata) for .constdata + uart.o(i.UART_SelectIrDAMode) refers to uart.o(i.CLK_GetPLLClockFreq) for CLK_GetPLLClockFreq + uart.o(i.UART_SelectIrDAMode) refers to aeabi_sdiv.o(.text) for __aeabi_uidivmod + uart.o(i.UART_SelectIrDAMode) refers to uart.o(.constdata) for .constdata + uart.o(i.UART_SetLine_Config) refers to uart.o(i.CLK_GetPLLClockFreq) for CLK_GetPLLClockFreq + uart.o(i.UART_SetLine_Config) refers to aeabi_sdiv.o(.text) for __aeabi_uidivmod + uart.o(i.UART_SetLine_Config) refers to uart.o(.constdata) for .constdata + startup_nuc100series.o(STACK) refers (Special) to heapauxi.o(.text) for __use_two_region_memory + startup_nuc100series.o(HEAP) refers (Special) to heapauxi.o(.text) for __use_two_region_memory + startup_nuc100series.o(RESET) refers (Special) to heapauxi.o(.text) for __use_two_region_memory + startup_nuc100series.o(RESET) refers to startup_nuc100series.o(STACK) for __initial_sp + startup_nuc100series.o(RESET) refers to startup_nuc100series.o(.text) for Reset_Handler + startup_nuc100series.o(RESET) refers to retarget.o(.emb_text) for HardFault_Handler + startup_nuc100series.o(RESET) refers to main.o(i.UART02_IRQHandler) for UART02_IRQHandler + startup_nuc100series.o(.text) refers (Special) to heapauxi.o(.text) for __use_two_region_memory + startup_nuc100series.o(.text) refers to system_nuc100series.o(i.SystemInit) for SystemInit + startup_nuc100series.o(.text) refers to __main.o(!!!main) for __main + startup_nuc100series.o(.text) refers to startup_nuc100series.o(HEAP) for Heap_Mem + startup_nuc100series.o(.text) refers to startup_nuc100series.o(STACK) for Stack_Mem + system_nuc100series.o(i.CLK_GetPLLClockFreq) refers to aeabi_sdiv.o(.text) for __aeabi_uidivmod + system_nuc100series.o(i.SystemCoreClockUpdate) refers to system_nuc100series.o(i.CLK_GetPLLClockFreq) for CLK_GetPLLClockFreq + system_nuc100series.o(i.SystemCoreClockUpdate) refers to aeabi_sdiv.o(.text) for __aeabi_uidivmod + system_nuc100series.o(i.SystemCoreClockUpdate) refers to system_nuc100series.o(.data) for PllClock + __2printf.o(.text) refers to _printf_char_file.o(.text) for _printf_char_file + __2printf.o(.text) refers to retarget.o(.data) for __stdout + noretval__2printf.o(.text) refers to _printf_char_file.o(.text) for _printf_char_file + noretval__2printf.o(.text) refers to retarget.o(.data) for __stdout + __printf.o(.text) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent + _printf_str.o(.text) refers (Special) to _printf_char.o(.text) for _printf_cs_common + _printf_hex_ll.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common + _printf_hex_ll.o(.text) refers to _printf_hex_ll.o(.constdata) for .constdata + _printf_hex_int.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common + _printf_hex_int.o(.text) refers to _printf_hex_int.o(.constdata) for .constdata + _printf_hex_int_ll.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common + _printf_hex_int_ll.o(.text) refers to _printf_hex_int_ll.o(.constdata) for .constdata + _printf_hex_ptr.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common + _printf_hex_ptr.o(.text) refers to _printf_hex_ptr.o(.constdata) for .constdata + _printf_hex_int_ptr.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common + _printf_hex_int_ptr.o(.text) refers to _printf_hex_int_ptr.o(.constdata) for .constdata + _printf_hex_ll_ptr.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common + _printf_hex_ll_ptr.o(.text) refers to _printf_hex_ll_ptr.o(.constdata) for .constdata + _printf_hex_int_ll_ptr.o(.text) refers to _printf_intcommon.o(.text) for _printf_int_common + _printf_hex_int_ll_ptr.o(.text) refers to _printf_hex_int_ll_ptr.o(.constdata) for .constdata + __printf_flags.o(.text) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent + __printf_flags.o(.text) refers to __printf_flags.o(.constdata) for .constdata + __printf_ss.o(.text) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent + __printf_flags_ss.o(.text) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent + __printf_flags_ss.o(.text) refers to __printf_flags_ss.o(.constdata) for .constdata + __printf_wp.o(.text) refers to __printf_wp.o(i._is_digit) for _is_digit + __printf_wp.o(.text) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent + __printf_flags_wp.o(.text) refers to __printf_wp.o(i._is_digit) for _is_digit + __printf_flags_wp.o(.text) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent + __printf_flags_wp.o(.text) refers to __printf_flags_wp.o(.constdata) for .constdata + __printf_ss_wp.o(.text) refers to __printf_wp.o(i._is_digit) for _is_digit + __printf_ss_wp.o(.text) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent + __printf_flags_ss_wp.o(.text) refers to __printf_wp.o(i._is_digit) for _is_digit + __printf_flags_ss_wp.o(.text) refers to _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) for _printf_percent + __printf_flags_ss_wp.o(.text) refers to __printf_flags_ss_wp.o(.constdata) for .constdata + _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) refers (Weak) to _printf_char.o(.text) for _printf_char + _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) refers (Weak) to _printf_hex_int.o(.text) for _printf_int_hex + _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) refers (Special) to _printf_percent_end.o(.ARM.Collect$$_printf_percent$$00000017) for _printf_percent_end + rt_memcpy.o(.text) refers to rt_memcpy.o(.emb_text) for __aeabi_memcpy4 + __main.o(!!!main) refers to __rtentry.o(.ARM.Collect$$rtentry$$00000000) for __rt_entry + __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry2.o(.ARM.Collect$$rtentry$$0000000A) for __rt_entry_li + __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry2.o(.ARM.Collect$$rtentry$$0000000D) for __rt_entry_main + __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry2.o(.ARM.Collect$$rtentry$$0000000C) for __rt_entry_postli_1 + __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry2.o(.ARM.Collect$$rtentry$$00000009) for __rt_entry_postsh_1 + __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry2.o(.ARM.Collect$$rtentry$$00000002) for __rt_entry_presh_1 + __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry4.o(.ARM.Collect$$rtentry$$00000004) for __rt_entry_sh + aeabi_idiv0_sigfpe.o(.text) refers to rt_div0.o(.text) for __rt_div0 + _printf_char.o(.text) refers (Weak) to _printf_str.o(.text) for _printf_str + _printf_char_file.o(.text) refers to _printf_char_common.o(.text) for _printf_char_common + _printf_char_file.o(.text) refers to retarget.o(i.ferror) for ferror + _printf_char_file.o(.text) refers to retarget.o(i.fputc) for fputc + __rtentry2.o(.ARM.Collect$$rtentry$$00000008) refers to boardinit2.o(.text) for _platform_post_stackheap_init + __rtentry2.o(.ARM.Collect$$rtentry$$0000000A) refers to libinit.o(.ARM.Collect$$libinit$$00000000) for __rt_lib_init + __rtentry2.o(.ARM.Collect$$rtentry$$0000000B) refers to boardinit3.o(.text) for _platform_post_lib_init + __rtentry2.o(.ARM.Collect$$rtentry$$0000000D) refers to main.o(i.main) for main + __rtentry2.o(.ARM.Collect$$rtentry$$0000000D) refers to exit.o(.text) for exit + __rtentry2.o(.ARM.exidx) refers to __rtentry2.o(.ARM.Collect$$rtentry$$00000001) for .ARM.Collect$$rtentry$$00000001 + __rtentry2.o(.ARM.exidx) refers to __rtentry2.o(.ARM.Collect$$rtentry$$00000008) for .ARM.Collect$$rtentry$$00000008 + __rtentry2.o(.ARM.exidx) refers to __rtentry2.o(.ARM.Collect$$rtentry$$0000000A) for .ARM.Collect$$rtentry$$0000000A + __rtentry2.o(.ARM.exidx) refers to __rtentry2.o(.ARM.Collect$$rtentry$$0000000B) for .ARM.Collect$$rtentry$$0000000B + __rtentry2.o(.ARM.exidx) refers to __rtentry2.o(.ARM.Collect$$rtentry$$0000000D) for .ARM.Collect$$rtentry$$0000000D + __rtentry4.o(.ARM.Collect$$rtentry$$00000004) refers to sys_stackheap_outer.o(.text) for __user_setup_stackheap + __rtentry4.o(.ARM.exidx) refers to __rtentry4.o(.ARM.Collect$$rtentry$$00000004) for .ARM.Collect$$rtentry$$00000004 + rt_div0.o(.text) refers to defsig_fpe_outer.o(.text) for __rt_SIGFPE + _printf_char_common.o(.text) refers to __printf_wp.o(.text) for __printf + sys_stackheap_outer.o(.text) refers to libspace.o(.text) for __user_perproc_libspace + sys_stackheap_outer.o(.text) refers to startup_nuc100series.o(.text) for __user_initial_stackheap + sys_stackheap_outer.o(__vectab_stack_and_reset_area) refers to tempstk.o(.text) for __temporary_stack_top + sys_stackheap_outer.o(__vectab_stack_and_reset_area) refers to __main.o(!!!main) for __main + exit.o(.text) refers to rtexit.o(.ARM.Collect$$rtexit$$00000000) for __rt_exit + defsig_fpe_outer.o(.text) refers to defsig_fpe_inner.o(.text) for __rt_SIGFPE_inner + defsig_fpe_outer.o(.text) refers to defsig_exit.o(.text) for __sig_exit + defsig_fpe_formal.o(.text) refers to rt_raise.o(.text) for __rt_raise + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000002E) for __rt_lib_init_alloca_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000002C) for __rt_lib_init_argv_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000001B) for __rt_lib_init_atexit_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000021) for __rt_lib_init_clock_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000032) for __rt_lib_init_cpp_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000030) for __rt_lib_init_exceptions_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000002) for __rt_lib_init_fp_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000001F) for __rt_lib_init_fp_trap_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000023) for __rt_lib_init_getenv_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000000A) for __rt_lib_init_heap_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000011) for __rt_lib_init_lc_collate_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000013) for __rt_lib_init_lc_ctype_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000015) for __rt_lib_init_lc_monetary_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000017) for __rt_lib_init_lc_numeric_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000019) for __rt_lib_init_lc_time_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000004) for __rt_lib_init_preinit_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000000E) for __rt_lib_init_rand_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000033) for __rt_lib_init_return + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000001D) for __rt_lib_init_signal_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000025) for __rt_lib_init_stdio_1 + libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000000C) for __rt_lib_init_user_alloc_1 + libspace.o(.text) refers to libspace.o(.bss) for __libspace_start + rtexit.o(.ARM.Collect$$rtexit$$00000000) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000004) for __rt_exit_exit + rtexit.o(.ARM.Collect$$rtexit$$00000000) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000003) for __rt_exit_ls + rtexit.o(.ARM.Collect$$rtexit$$00000000) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000002) for __rt_exit_prels_1 + rtexit.o(.ARM.exidx) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000004) for __rt_exit_exit + rtexit.o(.ARM.exidx) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000003) for __rt_exit_ls + rtexit.o(.ARM.exidx) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000002) for __rt_exit_prels_1 + rtexit.o(.ARM.exidx) refers to rtexit.o(.ARM.Collect$$rtexit$$00000000) for .ARM.Collect$$rtexit$$00000000 + rt_raise.o(.text) refers to __raise.o(.text) for __raise + rt_raise.o(.text) refers to sys_exit.o(.text) for _sys_exit + defsig_exit.o(.text) refers to sys_exit.o(.text) for _sys_exit + defsig_fpe_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display + libinit2.o(.ARM.Collect$$libinit$$00000010) refers to libinit2.o(.ARM.Collect$$libinit$$0000000F) for .ARM.Collect$$libinit$$0000000F + libinit2.o(.ARM.Collect$$libinit$$00000012) refers to libinit2.o(.ARM.Collect$$libinit$$0000000F) for .ARM.Collect$$libinit$$0000000F + libinit2.o(.ARM.Collect$$libinit$$00000014) refers to libinit2.o(.ARM.Collect$$libinit$$0000000F) for .ARM.Collect$$libinit$$0000000F + libinit2.o(.ARM.Collect$$libinit$$00000016) refers to libinit2.o(.ARM.Collect$$libinit$$0000000F) for .ARM.Collect$$libinit$$0000000F + libinit2.o(.ARM.Collect$$libinit$$00000018) refers to libinit2.o(.ARM.Collect$$libinit$$0000000F) for .ARM.Collect$$libinit$$0000000F + libinit2.o(.ARM.Collect$$libinit$$00000026) refers to argv_veneer.o(.text) for __ARM_argv_veneer + libinit2.o(.ARM.Collect$$libinit$$00000027) refers to argv_veneer.o(.text) for __ARM_argv_veneer + sys_exit.o(.text) refers (Special) to use_no_semi.o(.text) for __I$use$semihosting + sys_exit.o(.text) refers (Special) to indicate_semi.o(.text) for __semihosting_library_function + rtexit2.o(.ARM.Collect$$rtexit$$00000003) refers to libshutdown.o(.ARM.Collect$$libshutdown$$00000000) for __rt_lib_shutdown + rtexit2.o(.ARM.Collect$$rtexit$$00000004) refers to sys_exit.o(.text) for _sys_exit + rtexit2.o(.ARM.exidx) refers to rtexit2.o(.ARM.Collect$$rtexit$$00000001) for .ARM.Collect$$rtexit$$00000001 + rtexit2.o(.ARM.exidx) refers to rtexit2.o(.ARM.Collect$$rtexit$$00000003) for .ARM.Collect$$rtexit$$00000003 + rtexit2.o(.ARM.exidx) refers to rtexit2.o(.ARM.Collect$$rtexit$$00000004) for .ARM.Collect$$rtexit$$00000004 + __raise.o(.text) refers to defsig.o(CL$$defsig) for __default_signal_handler + defsig_general.o(.text) refers to retarget.o(i._ttywrch) for _ttywrch + defsig.o(CL$$defsig) refers to defsig_fpe_inner.o(.text) for __rt_SIGFPE_inner + defsig.o(CL$$defsig) refers to defsig_rtmem_inner.o(.text) for __rt_SIGRTMEM_inner + _get_argv_nomalloc.o(.text) refers (Special) to hrguard.o(.text) for __heap_region$guard + _get_argv_nomalloc.o(.text) refers to defsig_rtmem_outer.o(.text) for __rt_SIGRTMEM + _get_argv_nomalloc.o(.text) refers to sys_command.o(.text) for _sys_command_string + libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$00000002) for __rt_lib_shutdown_cpp_1 + libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$00000007) for __rt_lib_shutdown_fp_trap_1 + libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$0000000F) for __rt_lib_shutdown_heap_1 + libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$00000010) for __rt_lib_shutdown_return + libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$0000000A) for __rt_lib_shutdown_signal_1 + libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$00000004) for __rt_lib_shutdown_stdio_1 + libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$0000000C) for __rt_lib_shutdown_user_alloc_1 + sys_command.o(.text) refers (Special) to use_no_semi.o(.text) for __I$use$semihosting + sys_command.o(.text) refers (Special) to indicate_semi.o(.text) for __semihosting_library_function + defsig_abrt_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display + defsig_rtred_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display + defsig_rtmem_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display + defsig_rtmem_outer.o(.text) refers to defsig_rtmem_inner.o(.text) for __rt_SIGRTMEM_inner + defsig_rtmem_outer.o(.text) refers to defsig_exit.o(.text) for __sig_exit + defsig_rtmem_formal.o(.text) refers to rt_raise.o(.text) for __rt_raise + defsig_stak_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display + defsig_pvfn_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display + defsig_cppl_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display + defsig_segv_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display + defsig_other.o(.text) refers to defsig_general.o(.text) for __default_signal_display + + +============================================================================== + +Removing Unused input sections from the image. + + Removing main.o(.rev16_text), (4 bytes). + Removing main.o(.revsh_text), (4 bytes). + Removing main.o(i.UART_FunctionTest), (436 bytes). + Removing adc.o(.rev16_text), (4 bytes). + Removing adc.o(.revsh_text), (4 bytes). + Removing adc.o(i.ADC_Close), (10 bytes). + Removing adc.o(i.ADC_DisableHWTrigger), (12 bytes). + Removing adc.o(i.ADC_DisableInt), (50 bytes). + Removing adc.o(i.ADC_EnableHWTrigger), (30 bytes). + Removing adc.o(i.ADC_EnableInt), (50 bytes). + Removing adc.o(i.ADC_Open), (32 bytes). + Removing clk.o(.rev16_text), (4 bytes). + Removing clk.o(.revsh_text), (4 bytes). + Removing clk.o(i.CLK_DisableCKO), (16 bytes). + Removing clk.o(i.CLK_DisableModuleClock), (60 bytes). + Removing clk.o(i.CLK_DisablePLL), (20 bytes). + Removing clk.o(i.CLK_DisableSysTick), (12 bytes). + Removing clk.o(i.CLK_DisableXtalRC), (16 bytes). + Removing clk.o(i.CLK_EnableCKO), (44 bytes). + Removing clk.o(i.CLK_EnablePLL), (388 bytes). + Removing clk.o(i.CLK_EnableSysTick), (72 bytes). + Removing clk.o(i.CLK_GetCPUFreq), (16 bytes). + Removing clk.o(i.CLK_GetHCLKFreq), (16 bytes). + Removing clk.o(i.CLK_GetHXTFreq), (28 bytes). + Removing clk.o(i.CLK_GetLXTFreq), (24 bytes). + Removing clk.o(i.CLK_GetPCLKFreq), (16 bytes). + Removing clk.o(i.CLK_GetPLLClockFreq), (128 bytes). + Removing clk.o(i.CLK_Idle), (36 bytes). + Removing clk.o(i.CLK_PowerDown), (40 bytes). + Removing clk.o(i.CLK_SetCoreClock), (168 bytes). + Removing clk.o(i.CLK_SetModuleClock), (264 bytes). + Removing clk.o(i.CLK_SetSysTickClockSrc), (20 bytes). + Removing retarget.o(.rev16_text), (4 bytes). + Removing retarget.o(.revsh_text), (4 bytes). + Removing retarget.o(i.GetChar), (32 bytes). + Removing retarget.o(i.IsDebugFifoEmpty), (20 bytes). + Removing retarget.o(i._ttywrch), (12 bytes). + Removing retarget.o(i.fgetc), (10 bytes). + Removing retarget.o(i.kbhit), (20 bytes). + Removing sys.o(.rev16_text), (4 bytes). + Removing sys.o(.revsh_text), (4 bytes). + Removing sys.o(i.SYS_ClearResetSrc), (16 bytes). + Removing sys.o(i.SYS_DisableBOD), (18 bytes). + Removing sys.o(i.SYS_EnableBOD), (50 bytes). + Removing sys.o(i.SYS_GetBODStatus), (14 bytes). + Removing sys.o(i.SYS_GetResetSrc), (8 bytes). + Removing sys.o(i.SYS_IsRegLocked), (20 bytes). + Removing sys.o(i.SYS_ReadPDID), (8 bytes). + Removing sys.o(i.SYS_ResetCPU), (18 bytes). + Removing sys.o(i.SYS_ResetChip), (18 bytes). + Removing timer.o(.rev16_text), (4 bytes). + Removing timer.o(.revsh_text), (4 bytes). + Removing timer.o(i.TIMER_Close), (8 bytes). + Removing timer.o(i.TIMER_Delay), (272 bytes). + Removing timer.o(i.TIMER_DisableCapture), (10 bytes). + Removing timer.o(i.TIMER_DisableEventCounter), (12 bytes). + Removing timer.o(i.TIMER_EnableCapture), (20 bytes). + Removing timer.o(i.TIMER_EnableEventCounter), (22 bytes). + Removing timer.o(i.TIMER_GetModuleClock), (132 bytes). + Removing timer.o(i.TIMER_Open), (118 bytes). + Removing timer.o(.constdata), (32 bytes). + Removing uart.o(.rev16_text), (4 bytes). + Removing uart.o(.revsh_text), (4 bytes). + Removing uart.o(i.UART_ClearIntFlag), (80 bytes). + Removing uart.o(i.UART_Close), (6 bytes). + Removing uart.o(i.UART_DisableFlowCtrl), (12 bytes). + Removing uart.o(i.UART_DisableInt), (56 bytes). + Removing uart.o(i.UART_EnableFlowCtrl), (30 bytes). + Removing uart.o(i.UART_EnableInt), (56 bytes). + Removing uart.o(i.UART_Read), (54 bytes). + Removing uart.o(i.UART_SelectIrDAMode), (164 bytes). + Removing uart.o(i.UART_SelectLINMode), (26 bytes). + Removing uart.o(i.UART_SelectRS485Mode), (32 bytes). + Removing uart.o(i.UART_SetLine_Config), (184 bytes). + Removing uart.o(i.UART_SetTimeoutCnt), (22 bytes). + Removing uart.o(i.__NVIC_DisableIRQ), (56 bytes). + Removing uart.o(i.__NVIC_EnableIRQ), (32 bytes). + Removing system_nuc100series.o(.rev16_text), (4 bytes). + Removing system_nuc100series.o(.revsh_text), (4 bytes). + +79 unused section(s) (total 3768 bytes) removed from the image. + +============================================================================== + +Image Symbol Table + + Local Symbols + + Symbol Name Value Ov Type Size Object(Section) + + RESET 0x00000000 Section 192 startup_nuc100series.o(RESET) + ../clib/angel/boardlib.s 0x00000000 Number 0 boardinit1.o ABSOLUTE + ../clib/angel/boardlib.s 0x00000000 Number 0 boardshut.o ABSOLUTE + ../clib/angel/boardlib.s 0x00000000 Number 0 boardinit2.o ABSOLUTE + ../clib/angel/boardlib.s 0x00000000 Number 0 boardinit3.o ABSOLUTE + ../clib/angel/handlers.s 0x00000000 Number 0 __scatter_copy.o ABSOLUTE + ../clib/angel/handlers.s 0x00000000 Number 0 __scatter_zi.o ABSOLUTE + ../clib/angel/kernel.s 0x00000000 Number 0 __rtentry.o ABSOLUTE + ../clib/angel/kernel.s 0x00000000 Number 0 rtexit.o ABSOLUTE + ../clib/angel/kernel.s 0x00000000 Number 0 __rtentry4.o ABSOLUTE + ../clib/angel/kernel.s 0x00000000 Number 0 rtexit2.o ABSOLUTE + ../clib/angel/kernel.s 0x00000000 Number 0 __rtentry2.o ABSOLUTE + ../clib/angel/rt.s 0x00000000 Number 0 aeabi_idiv0_sigfpe.o ABSOLUTE + ../clib/angel/rt.s 0x00000000 Number 0 aeabi_idiv0.o ABSOLUTE + ../clib/angel/rt.s 0x00000000 Number 0 rt_div0.o ABSOLUTE + ../clib/angel/rt.s 0x00000000 Number 0 rt_raise.o ABSOLUTE + ../clib/angel/scatterp.s 0x00000000 Number 0 __scatter.o ABSOLUTE + ../clib/angel/startup.s 0x00000000 Number 0 __main.o ABSOLUTE + ../clib/angel/sys.s 0x00000000 Number 0 use_no_semi.o ABSOLUTE + ../clib/angel/sys.s 0x00000000 Number 0 tempstk.o ABSOLUTE + ../clib/angel/sys.s 0x00000000 Number 0 indicate_semi.o ABSOLUTE + ../clib/angel/sys.s 0x00000000 Number 0 libspace.o ABSOLUTE + ../clib/angel/sys.s 0x00000000 Number 0 sys_stackheap_outer.o ABSOLUTE + ../clib/angel/sysapp.c 0x00000000 Number 0 sys_command.o ABSOLUTE + ../clib/angel/sysapp.c 0x00000000 Number 0 sys_exit.o ABSOLUTE + ../clib/armsys.c 0x00000000 Number 0 no_argv.o ABSOLUTE + ../clib/armsys.c 0x00000000 Number 0 _get_argv_nomalloc.o ABSOLUTE + ../clib/armsys.c 0x00000000 Number 0 argv_veneer.o ABSOLUTE + ../clib/division.s 0x00000000 Number 0 aeabi_sdiv_div0.o ABSOLUTE + ../clib/division.s 0x00000000 Number 0 aeabi_sdiv.o ABSOLUTE + ../clib/heapalloc.c 0x00000000 Number 0 hrguard.o ABSOLUTE + ../clib/heapaux.c 0x00000000 Number 0 heapauxi.o ABSOLUTE + ../clib/libinit.s 0x00000000 Number 0 libshutdown2.o ABSOLUTE + ../clib/libinit.s 0x00000000 Number 0 libshutdown.o ABSOLUTE + ../clib/libinit.s 0x00000000 Number 0 libinit2.o ABSOLUTE + ../clib/libinit.s 0x00000000 Number 0 libinit.o ABSOLUTE + ../clib/memcpset.c 0x00000000 Number 0 rt_memcpy.o ABSOLUTE + ../clib/memcpset.c 0x00000000 Number 0 rt_memcpy.o ABSOLUTE + ../clib/misc.s 0x00000000 Number 0 printf_stubs.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 _printf_intcommon.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 __printf_nopercent.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 __printf.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 _printf_char.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 __printf_flags_ss.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 __printf_ss.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 __printf_flags.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 _printf_hex_int_ll_ptr.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 _printf_hex_ptr.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 _printf_hex_int_ptr.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 __printf_flags_wp.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 _printf_hex_ll_ptr.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 __printf_flags_ss_wp.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 _printf_char_file.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 _printf_hex_int_ll.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 _printf_char_common.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 __printf_ss_wp.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 _printf_hex_int.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 _printf_hex_ll.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 __printf_wp.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 _printf_str.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 __2printf.o ABSOLUTE + ../clib/printf.c 0x00000000 Number 0 noretval__2printf.o ABSOLUTE + ../clib/printf_percent.s 0x00000000 Number 0 _printf_percent.o ABSOLUTE + ../clib/printf_percent.s 0x00000000 Number 0 _printf_percent_end.o ABSOLUTE + ../clib/printf_percent.s 0x00000000 Number 0 _printf_c.o ABSOLUTE + ../clib/printf_percent.s 0x00000000 Number 0 _printf_x.o ABSOLUTE + ../clib/signal.c 0x00000000 Number 0 __raise.o ABSOLUTE + ../clib/signal.c 0x00000000 Number 0 defsig_fpe_formal.o ABSOLUTE + ../clib/signal.c 0x00000000 Number 0 defsig_fpe_outer.o ABSOLUTE + ../clib/signal.c 0x00000000 Number 0 defsig_exit.o ABSOLUTE + ../clib/signal.c 0x00000000 Number 0 defsig_fpe_inner.o ABSOLUTE + ../clib/signal.c 0x00000000 Number 0 defsig_rtmem_inner.o ABSOLUTE + ../clib/signal.c 0x00000000 Number 0 defsig_segv_inner.o ABSOLUTE + ../clib/signal.c 0x00000000 Number 0 defsig_rtred_inner.o ABSOLUTE + ../clib/signal.c 0x00000000 Number 0 defsig_general.o ABSOLUTE + ../clib/signal.c 0x00000000 Number 0 defsig_abrt_inner.o ABSOLUTE + ../clib/signal.c 0x00000000 Number 0 defsig_other.o ABSOLUTE + ../clib/signal.c 0x00000000 Number 0 defsig_cppl_inner.o ABSOLUTE + ../clib/signal.c 0x00000000 Number 0 defsig_pvfn_inner.o ABSOLUTE + ../clib/signal.c 0x00000000 Number 0 defsig_stak_inner.o ABSOLUTE + ../clib/signal.c 0x00000000 Number 0 defsig_rtmem_formal.o ABSOLUTE + ../clib/signal.c 0x00000000 Number 0 defsig_rtmem_outer.o ABSOLUTE + ../clib/signal.s 0x00000000 Number 0 defsig.o ABSOLUTE + ../clib/stdlib.c 0x00000000 Number 0 exit.o ABSOLUTE + ../fplib/cfplib/fpinit.c 0x00000000 Number 0 fpinit.o ABSOLUTE + D:\\keil_arm_pack\\Nuvoton\\NuMicro_DFP\\1.2.0\\Device\\NUC100\\Driver\\adc.c 0x00000000 Number 0 adc.o ABSOLUTE + D:\\keil_arm_pack\\Nuvoton\\NuMicro_DFP\\1.2.0\\Device\\NUC100\\Driver\\clk.c 0x00000000 Number 0 clk.o ABSOLUTE + D:\\keil_arm_pack\\Nuvoton\\NuMicro_DFP\\1.2.0\\Device\\NUC100\\Driver\\retarget.c 0x00000000 Number 0 retarget.o ABSOLUTE + D:\\keil_arm_pack\\Nuvoton\\NuMicro_DFP\\1.2.0\\Device\\NUC100\\Driver\\sys.c 0x00000000 Number 0 sys.o ABSOLUTE + D:\\keil_arm_pack\\Nuvoton\\NuMicro_DFP\\1.2.0\\Device\\NUC100\\Driver\\timer.c 0x00000000 Number 0 timer.o ABSOLUTE + D:\\keil_arm_pack\\Nuvoton\\NuMicro_DFP\\1.2.0\\Device\\NUC100\\Driver\\uart.c 0x00000000 Number 0 uart.o ABSOLUTE + D:\keil_arm_pack\Nuvoton\NuMicro_DFP\1.2.0\Device\NUC100\Driver\adc.c 0x00000000 Number 0 adc.o ABSOLUTE + D:\keil_arm_pack\Nuvoton\NuMicro_DFP\1.2.0\Device\NUC100\Driver\clk.c 0x00000000 Number 0 clk.o ABSOLUTE + D:\keil_arm_pack\Nuvoton\NuMicro_DFP\1.2.0\Device\NUC100\Driver\retarget.c 0x00000000 Number 0 retarget.o ABSOLUTE + D:\keil_arm_pack\Nuvoton\NuMicro_DFP\1.2.0\Device\NUC100\Driver\sys.c 0x00000000 Number 0 sys.o ABSOLUTE + D:\keil_arm_pack\Nuvoton\NuMicro_DFP\1.2.0\Device\NUC100\Driver\timer.c 0x00000000 Number 0 timer.o ABSOLUTE + D:\keil_arm_pack\Nuvoton\NuMicro_DFP\1.2.0\Device\NUC100\Driver\uart.c 0x00000000 Number 0 uart.o ABSOLUTE + RTE\Device\NUC120RD3AN\startup_NUC100Series.s 0x00000000 Number 0 startup_nuc100series.o ABSOLUTE + RTE\Device\NUC120RD3AN\system_NUC100Series.c 0x00000000 Number 0 system_nuc100series.o ABSOLUTE + RTE\\Device\\NUC120RD3AN\\system_NUC100Series.c 0x00000000 Number 0 system_nuc100series.o ABSOLUTE + dc.s 0x00000000 Number 0 dc.o ABSOLUTE + main.c 0x00000000 Number 0 main.o ABSOLUTE + main.c 0x00000000 Number 0 main.o ABSOLUTE + !!!main 0x000000c0 Section 8 __main.o(!!!main) + !!!scatter 0x000000c8 Section 60 __scatter.o(!!!scatter) + !!handler_copy 0x00000104 Section 26 __scatter_copy.o(!!handler_copy) + !!handler_zi 0x00000120 Section 28 __scatter_zi.o(!!handler_zi) + .ARM.Collect$$_printf_percent$$00000000 0x0000013c Section 2 _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) + .ARM.Collect$$_printf_percent$$0000000C 0x0000013e Section 10 _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) + .ARM.Collect$$_printf_percent$$00000013 0x00000148 Section 10 _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) + .ARM.Collect$$_printf_percent$$00000017 0x00000152 Section 4 _printf_percent_end.o(.ARM.Collect$$_printf_percent$$00000017) + .ARM.Collect$$libinit$$00000000 0x00000156 Section 2 libinit.o(.ARM.Collect$$libinit$$00000000) + .ARM.Collect$$libinit$$00000002 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000002) + .ARM.Collect$$libinit$$00000004 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000004) + .ARM.Collect$$libinit$$0000000A 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$0000000A) + .ARM.Collect$$libinit$$0000000C 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$0000000C) + .ARM.Collect$$libinit$$0000000E 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$0000000E) + .ARM.Collect$$libinit$$00000011 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000011) + .ARM.Collect$$libinit$$00000013 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000013) + .ARM.Collect$$libinit$$00000015 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000015) + .ARM.Collect$$libinit$$00000017 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000017) + .ARM.Collect$$libinit$$00000019 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000019) + .ARM.Collect$$libinit$$0000001B 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$0000001B) + .ARM.Collect$$libinit$$0000001D 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$0000001D) + .ARM.Collect$$libinit$$0000001F 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$0000001F) + .ARM.Collect$$libinit$$00000021 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000021) + .ARM.Collect$$libinit$$00000023 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000023) + .ARM.Collect$$libinit$$00000025 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000025) + .ARM.Collect$$libinit$$0000002C 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$0000002C) + .ARM.Collect$$libinit$$0000002E 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$0000002E) + .ARM.Collect$$libinit$$00000030 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000030) + .ARM.Collect$$libinit$$00000032 0x00000158 Section 0 libinit2.o(.ARM.Collect$$libinit$$00000032) + .ARM.Collect$$libinit$$00000033 0x00000158 Section 2 libinit2.o(.ARM.Collect$$libinit$$00000033) + .ARM.Collect$$libshutdown$$00000000 0x0000015a Section 2 libshutdown.o(.ARM.Collect$$libshutdown$$00000000) + .ARM.Collect$$libshutdown$$00000002 0x0000015c Section 0 libshutdown2.o(.ARM.Collect$$libshutdown$$00000002) + .ARM.Collect$$libshutdown$$00000004 0x0000015c Section 0 libshutdown2.o(.ARM.Collect$$libshutdown$$00000004) + .ARM.Collect$$libshutdown$$00000007 0x0000015c Section 0 libshutdown2.o(.ARM.Collect$$libshutdown$$00000007) + .ARM.Collect$$libshutdown$$0000000A 0x0000015c Section 0 libshutdown2.o(.ARM.Collect$$libshutdown$$0000000A) + .ARM.Collect$$libshutdown$$0000000C 0x0000015c Section 0 libshutdown2.o(.ARM.Collect$$libshutdown$$0000000C) + .ARM.Collect$$libshutdown$$0000000F 0x0000015c Section 0 libshutdown2.o(.ARM.Collect$$libshutdown$$0000000F) + .ARM.Collect$$libshutdown$$00000010 0x0000015c Section 2 libshutdown2.o(.ARM.Collect$$libshutdown$$00000010) + .ARM.Collect$$rtentry$$00000000 0x0000015e Section 0 __rtentry.o(.ARM.Collect$$rtentry$$00000000) + .ARM.Collect$$rtentry$$00000002 0x0000015e Section 0 __rtentry2.o(.ARM.Collect$$rtentry$$00000002) + .ARM.Collect$$rtentry$$00000004 0x0000015e Section 6 __rtentry4.o(.ARM.Collect$$rtentry$$00000004) + .ARM.Collect$$rtentry$$00000009 0x00000164 Section 0 __rtentry2.o(.ARM.Collect$$rtentry$$00000009) + .ARM.Collect$$rtentry$$0000000A 0x00000164 Section 4 __rtentry2.o(.ARM.Collect$$rtentry$$0000000A) + .ARM.Collect$$rtentry$$0000000C 0x00000168 Section 0 __rtentry2.o(.ARM.Collect$$rtentry$$0000000C) + .ARM.Collect$$rtentry$$0000000D 0x00000168 Section 8 __rtentry2.o(.ARM.Collect$$rtentry$$0000000D) + .ARM.Collect$$rtexit$$00000000 0x00000170 Section 2 rtexit.o(.ARM.Collect$$rtexit$$00000000) + .ARM.Collect$$rtexit$$00000002 0x00000172 Section 0 rtexit2.o(.ARM.Collect$$rtexit$$00000002) + .ARM.Collect$$rtexit$$00000003 0x00000172 Section 4 rtexit2.o(.ARM.Collect$$rtexit$$00000003) + .ARM.Collect$$rtexit$$00000004 0x00000176 Section 6 rtexit2.o(.ARM.Collect$$rtexit$$00000004) + .emb_text 0x0000017c Section 28 retarget.o(.emb_text) + .text 0x00000198 Section 100 startup_nuc100series.o(.text) + Default_Handler 0x000001c3 Thumb Code 2 startup_nuc100series.o(.text) + .text 0x000001fc Section 0 noretval__2printf.o(.text) + .text 0x00000218 Section 0 _printf_str.o(.text) + .text 0x0000026c Section 0 _printf_hex_int.o(.text) + .text 0x000002c4 Section 0 __printf_wp.o(.text) + .text 0x000003d2 Section 346 aeabi_sdiv.o(.text) + .text 0x0000052c Section 0 heapauxi.o(.text) + .text 0x00000532 Section 0 _printf_intcommon.o(.text) + .text 0x000005e2 Section 0 _printf_char.o(.text) + .text 0x00000610 Section 0 _printf_char_file.o(.text) + .text 0x00000638 Section 0 _printf_char_common.o(.text) + _printf_input_char 0x00000639 Thumb Code 10 _printf_char_common.o(.text) + .text 0x00000668 Section 62 sys_stackheap_outer.o(.text) + .text 0x000006a6 Section 0 exit.o(.text) + .text 0x000006b8 Section 8 libspace.o(.text) + .text 0x000006c0 Section 0 sys_exit.o(.text) + .text 0x000006cc Section 2 use_no_semi.o(.text) + .text 0x000006ce Section 0 indicate_semi.o(.text) + i.CLK_EnableModuleClock 0x000006d0 Section 0 clk.o(i.CLK_EnableModuleClock) + i.CLK_EnableXtalRC 0x0000070c Section 0 clk.o(i.CLK_EnableXtalRC) + i.CLK_GetPLLClockFreq 0x0000071c Section 0 uart.o(i.CLK_GetPLLClockFreq) + CLK_GetPLLClockFreq 0x0000071d Thumb Code 112 uart.o(i.CLK_GetPLLClockFreq) + i.CLK_GetPLLClockFreq 0x0000079c Section 0 system_nuc100series.o(i.CLK_GetPLLClockFreq) + CLK_GetPLLClockFreq 0x0000079d Thumb Code 112 system_nuc100series.o(i.CLK_GetPLLClockFreq) + i.CLK_SetHCLK 0x0000081c Section 0 clk.o(i.CLK_SetHCLK) + i.CLK_WaitClockReady 0x00000888 Section 0 clk.o(i.CLK_WaitClockReady) + i.Hard_Fault_Handler 0x000008b0 Section 0 retarget.o(i.Hard_Fault_Handler) + __tagsym$$used 0x000008b1 Number 0 retarget.o(i.Hard_Fault_Handler) + i.SYS_Init 0x000008dc Section 0 main.o(i.SYS_Init) + i.SYS_ResetModule 0x0000091c Section 0 sys.o(i.SYS_ResetModule) + i.SendChar 0x0000094c Section 0 retarget.o(i.SendChar) + i.SendChar_ToUART 0x00000958 Section 0 retarget.o(i.SendChar_ToUART) + i.SystemCoreClockUpdate 0x0000098c Section 0 system_nuc100series.o(i.SystemCoreClockUpdate) + i.SystemInit 0x000009f0 Section 0 system_nuc100series.o(i.SystemInit) + i.UART02_IRQHandler 0x000009f2 Section 0 main.o(i.UART02_IRQHandler) + i.UART1_Init 0x000009fc Section 0 main.o(i.UART1_Init) + i.UART_Open 0x00000a18 Section 0 uart.o(i.UART_Open) + i.UART_TEST_HANDLE 0x00000ad0 Section 0 main.o(i.UART_TEST_HANDLE) + i.UART_Write 0x00000bd4 Section 0 uart.o(i.UART_Write) + i._is_digit 0x00000c0a Section 0 __printf_wp.o(i._is_digit) + i.delay_ms 0x00000c18 Section 0 main.o(i.delay_ms) + i.ferror 0x00000c34 Section 0 retarget.o(i.ferror) + i.fputc 0x00000c3c Section 0 retarget.o(i.fputc) + i.main 0x00000c4c Section 0 main.o(i.main) + i.stackDump 0x00000c9c Section 0 retarget.o(i.stackDump) + stackDump 0x00000c9d Thumb Code 70 retarget.o(i.stackDump) + .constdata 0x00000d44 Section 56 clk.o(.constdata) + .constdata 0x00000d7c Section 48 uart.o(.constdata) + .constdata 0x00000dac Section 40 _printf_hex_int.o(.constdata) + uc_hextab 0x00000dac Data 20 _printf_hex_int.o(.constdata) + lc_hextab 0x00000dc0 Data 20 _printf_hex_int.o(.constdata) + .data 0x20000000 Section 16 main.o(.data) + .data 0x20000010 Section 8 retarget.o(.data) + .data 0x20000018 Section 44 system_nuc100series.o(.data) + .bss 0x20000044 Section 1024 main.o(.bss) + .bss 0x20000444 Section 96 libspace.o(.bss) + HEAP 0x200004a8 Section 0 startup_nuc100series.o(HEAP) + STACK 0x200004a8 Section 1024 startup_nuc100series.o(STACK) + Heap_Mem 0x200004a8 Data 0 startup_nuc100series.o(HEAP) + Stack_Mem 0x200004a8 Data 1024 startup_nuc100series.o(STACK) + __initial_sp 0x200008a8 Data 0 startup_nuc100series.o(STACK) + + Global Symbols + + Symbol Name Value Ov Type Size Object(Section) + + BuildAttributes$$THM_ISAv3M$S$PE$A:L22$X:L11$S22$IEEE1$IW$USESV6$~STKCKD$USESV7$~SHL$OSPACE$ROPI$EBA8$UX$STANDARDLIB$REQ8$PRES8$EABIv2 0x00000000 Number 0 anon$$obj.o ABSOLUTE + __ARM_use_no_argv 0x00000000 Number 0 main.o ABSOLUTE + __Vectors 0x00000000 Data 4 startup_nuc100series.o(RESET) + _printf_flags 0x00000000 Number 0 printf_stubs.o ABSOLUTE + _printf_return_value 0x00000000 Number 0 printf_stubs.o ABSOLUTE + _printf_sizespec 0x00000000 Number 0 printf_stubs.o ABSOLUTE + _printf_widthprec 0x00000000 Number 0 printf_stubs.o ABSOLUTE + __ARM_exceptions_init - Undefined Weak Reference + __alloca_initialize - Undefined Weak Reference + __arm_preinit_ - Undefined Weak Reference + __cpp_initialize__aeabi_ - Undefined Weak Reference + __cxa_finalize - Undefined Weak Reference + __rt_locale - Undefined Weak Reference + __sigvec_lookup - Undefined Weak Reference + _atexit_init - Undefined Weak Reference + _call_atexit_fns - Undefined Weak Reference + _clock_init - Undefined Weak Reference + _fp_trap_init - Undefined Weak Reference + _fp_trap_shutdown - Undefined Weak Reference + _get_lc_collate - Undefined Weak Reference + _get_lc_ctype - Undefined Weak Reference + _get_lc_monetary - Undefined Weak Reference + _get_lc_numeric - Undefined Weak Reference + _get_lc_time - Undefined Weak Reference + _getenv_init - Undefined Weak Reference + _handle_redirection - Undefined Weak Reference + _init_alloc - Undefined Weak Reference + _init_user_alloc - Undefined Weak Reference + _initio - Undefined Weak Reference + _printf_mbtowc - Undefined Weak Reference + _printf_post_padding - Undefined Weak Reference + _printf_pre_padding - Undefined Weak Reference + _printf_truncate_unsigned - Undefined Weak Reference + _rand_init - Undefined Weak Reference + _signal_finish - Undefined Weak Reference + _signal_init - Undefined Weak Reference + _terminate_alloc - Undefined Weak Reference + _terminate_user_alloc - Undefined Weak Reference + _terminateio - Undefined Weak Reference + __main 0x000000c1 Thumb Code 8 __main.o(!!!main) + __scatterload 0x000000c9 Thumb Code 0 __scatter.o(!!!scatter) + __scatterload_rt2 0x000000c9 Thumb Code 52 __scatter.o(!!!scatter) + __scatterload_rt2_thumb_only 0x000000c9 Thumb Code 0 __scatter.o(!!!scatter) + __scatterload_null 0x000000d9 Thumb Code 0 __scatter.o(!!!scatter) + __scatterload_copy 0x00000105 Thumb Code 26 __scatter_copy.o(!!handler_copy) + __scatterload_zeroinit 0x00000121 Thumb Code 28 __scatter_zi.o(!!handler_zi) + _printf_percent 0x0000013d Thumb Code 0 _printf_percent.o(.ARM.Collect$$_printf_percent$$00000000) + _printf_x 0x0000013f Thumb Code 0 _printf_x.o(.ARM.Collect$$_printf_percent$$0000000C) + _printf_c 0x00000149 Thumb Code 0 _printf_c.o(.ARM.Collect$$_printf_percent$$00000013) + _printf_percent_end 0x00000153 Thumb Code 0 _printf_percent_end.o(.ARM.Collect$$_printf_percent$$00000017) + __rt_lib_init 0x00000157 Thumb Code 0 libinit.o(.ARM.Collect$$libinit$$00000000) + __rt_lib_init_alloca_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$0000002E) + __rt_lib_init_argv_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$0000002C) + __rt_lib_init_atexit_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$0000001B) + __rt_lib_init_clock_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000021) + __rt_lib_init_cpp_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000032) + __rt_lib_init_exceptions_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000030) + __rt_lib_init_fp_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000002) + __rt_lib_init_fp_trap_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$0000001F) + __rt_lib_init_getenv_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000023) + __rt_lib_init_heap_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$0000000A) + __rt_lib_init_lc_collate_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000011) + __rt_lib_init_lc_ctype_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000013) + __rt_lib_init_lc_monetary_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000015) + __rt_lib_init_lc_numeric_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000017) + __rt_lib_init_lc_time_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000019) + __rt_lib_init_preinit_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000004) + __rt_lib_init_rand_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$0000000E) + __rt_lib_init_return 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000033) + __rt_lib_init_signal_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$0000001D) + __rt_lib_init_stdio_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$00000025) + __rt_lib_init_user_alloc_1 0x00000159 Thumb Code 0 libinit2.o(.ARM.Collect$$libinit$$0000000C) + __rt_lib_shutdown 0x0000015b Thumb Code 0 libshutdown.o(.ARM.Collect$$libshutdown$$00000000) + __rt_lib_shutdown_cpp_1 0x0000015d Thumb Code 0 libshutdown2.o(.ARM.Collect$$libshutdown$$00000002) + __rt_lib_shutdown_fp_trap_1 0x0000015d Thumb Code 0 libshutdown2.o(.ARM.Collect$$libshutdown$$00000007) + __rt_lib_shutdown_heap_1 0x0000015d Thumb Code 0 libshutdown2.o(.ARM.Collect$$libshutdown$$0000000F) + __rt_lib_shutdown_return 0x0000015d Thumb Code 0 libshutdown2.o(.ARM.Collect$$libshutdown$$00000010) + __rt_lib_shutdown_signal_1 0x0000015d Thumb Code 0 libshutdown2.o(.ARM.Collect$$libshutdown$$0000000A) + __rt_lib_shutdown_stdio_1 0x0000015d Thumb Code 0 libshutdown2.o(.ARM.Collect$$libshutdown$$00000004) + __rt_lib_shutdown_user_alloc_1 0x0000015d Thumb Code 0 libshutdown2.o(.ARM.Collect$$libshutdown$$0000000C) + __rt_entry 0x0000015f Thumb Code 0 __rtentry.o(.ARM.Collect$$rtentry$$00000000) + __rt_entry_presh_1 0x0000015f Thumb Code 0 __rtentry2.o(.ARM.Collect$$rtentry$$00000002) + __rt_entry_sh 0x0000015f Thumb Code 0 __rtentry4.o(.ARM.Collect$$rtentry$$00000004) + __rt_entry_li 0x00000165 Thumb Code 0 __rtentry2.o(.ARM.Collect$$rtentry$$0000000A) + __rt_entry_postsh_1 0x00000165 Thumb Code 0 __rtentry2.o(.ARM.Collect$$rtentry$$00000009) + __rt_entry_main 0x00000169 Thumb Code 0 __rtentry2.o(.ARM.Collect$$rtentry$$0000000D) + __rt_entry_postli_1 0x00000169 Thumb Code 0 __rtentry2.o(.ARM.Collect$$rtentry$$0000000C) + __rt_exit 0x00000171 Thumb Code 0 rtexit.o(.ARM.Collect$$rtexit$$00000000) + __rt_exit_ls 0x00000173 Thumb Code 0 rtexit2.o(.ARM.Collect$$rtexit$$00000003) + __rt_exit_prels_1 0x00000173 Thumb Code 0 rtexit2.o(.ARM.Collect$$rtexit$$00000002) + __rt_exit_exit 0x00000177 Thumb Code 0 rtexit2.o(.ARM.Collect$$rtexit$$00000004) + HardFault_Handler 0x0000017d Thumb Code 24 retarget.o(.emb_text) + Reset_Handler 0x00000199 Thumb Code 32 startup_nuc100series.o(.text) + NMI_Handler 0x000001b9 Thumb Code 2 startup_nuc100series.o(.text) + SVC_Handler 0x000001bd Thumb Code 2 startup_nuc100series.o(.text) + PendSV_Handler 0x000001bf Thumb Code 2 startup_nuc100series.o(.text) + SysTick_Handler 0x000001c1 Thumb Code 2 startup_nuc100series.o(.text) + ACMP_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + ADC_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + BOD_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + CAN0_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + CAN1_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + EINT0_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + EINT1_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + GPAB_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + GPCDEF_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + I2C0_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + I2C1_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + I2S_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + PDMA_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + PS2_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + PWMA_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + PWMB_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + PWRWU_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + RTC_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + SC012_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + SPI0_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + SPI1_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + SPI2_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + SPI3_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + TMR0_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + TMR1_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + TMR2_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + TMR3_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + UART1_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + USBD_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + WDT_IRQHandler 0x000001c3 Thumb Code 0 startup_nuc100series.o(.text) + __user_initial_stackheap 0x000001c5 Thumb Code 0 startup_nuc100series.o(.text) + __2printf 0x000001fd Thumb Code 22 noretval__2printf.o(.text) + _printf_str 0x00000219 Thumb Code 82 _printf_str.o(.text) + _printf_int_hex 0x0000026d Thumb Code 84 _printf_hex_int.o(.text) + _printf_longlong_hex 0x0000026d Thumb Code 0 _printf_hex_int.o(.text) + __printf 0x000002c5 Thumb Code 270 __printf_wp.o(.text) + __aeabi_uidiv 0x000003d3 Thumb Code 0 aeabi_sdiv.o(.text) + __aeabi_uidivmod 0x000003d3 Thumb Code 20 aeabi_sdiv.o(.text) + __aeabi_idiv 0x000003e7 Thumb Code 0 aeabi_sdiv.o(.text) + __aeabi_idivmod 0x000003e7 Thumb Code 326 aeabi_sdiv.o(.text) + __use_two_region_memory 0x0000052d Thumb Code 2 heapauxi.o(.text) + __rt_heap_escrow$2region 0x0000052f Thumb Code 2 heapauxi.o(.text) + __rt_heap_expand$2region 0x00000531 Thumb Code 2 heapauxi.o(.text) + _printf_int_common 0x00000533 Thumb Code 176 _printf_intcommon.o(.text) + _printf_cs_common 0x000005e3 Thumb Code 22 _printf_char.o(.text) + _printf_char 0x000005f9 Thumb Code 16 _printf_char.o(.text) + _printf_string 0x00000609 Thumb Code 8 _printf_char.o(.text) + _printf_char_file 0x00000611 Thumb Code 34 _printf_char_file.o(.text) + _printf_char_common 0x00000643 Thumb Code 32 _printf_char_common.o(.text) + __user_setup_stackheap 0x00000669 Thumb Code 62 sys_stackheap_outer.o(.text) + exit 0x000006a7 Thumb Code 16 exit.o(.text) + __user_libspace 0x000006b9 Thumb Code 8 libspace.o(.text) + __user_perproc_libspace 0x000006b9 Thumb Code 0 libspace.o(.text) + __user_perthread_libspace 0x000006b9 Thumb Code 0 libspace.o(.text) + _sys_exit 0x000006c1 Thumb Code 8 sys_exit.o(.text) + __I$use$semihosting 0x000006cd Thumb Code 0 use_no_semi.o(.text) + __use_no_semihosting_swi 0x000006cd Thumb Code 2 use_no_semi.o(.text) + __semihosting_library_function 0x000006cf Thumb Code 0 indicate_semi.o(.text) + CLK_EnableModuleClock 0x000006d1 Thumb Code 52 clk.o(i.CLK_EnableModuleClock) + CLK_EnableXtalRC 0x0000070d Thumb Code 12 clk.o(i.CLK_EnableXtalRC) + CLK_SetHCLK 0x0000081d Thumb Code 102 clk.o(i.CLK_SetHCLK) + CLK_WaitClockReady 0x00000889 Thumb Code 32 clk.o(i.CLK_WaitClockReady) + Hard_Fault_Handler 0x000008b1 Thumb Code 18 retarget.o(i.Hard_Fault_Handler) + SYS_Init 0x000008dd Thumb Code 60 main.o(i.SYS_Init) + SYS_ResetModule 0x0000091d Thumb Code 48 sys.o(i.SYS_ResetModule) + SendChar 0x0000094d Thumb Code 12 retarget.o(i.SendChar) + SendChar_ToUART 0x00000959 Thumb Code 48 retarget.o(i.SendChar_ToUART) + SystemCoreClockUpdate 0x0000098d Thumb Code 76 system_nuc100series.o(i.SystemCoreClockUpdate) + SystemInit 0x000009f1 Thumb Code 2 system_nuc100series.o(i.SystemInit) + UART02_IRQHandler 0x000009f3 Thumb Code 8 main.o(i.UART02_IRQHandler) + UART1_Init 0x000009fd Thumb Code 20 main.o(i.UART1_Init) + UART_Open 0x00000a19 Thumb Code 166 uart.o(i.UART_Open) + UART_TEST_HANDLE 0x00000ad1 Thumb Code 194 main.o(i.UART_TEST_HANDLE) + UART_Write 0x00000bd5 Thumb Code 54 uart.o(i.UART_Write) + _is_digit 0x00000c0b Thumb Code 14 __printf_wp.o(i._is_digit) + delay_ms 0x00000c19 Thumb Code 28 main.o(i.delay_ms) + ferror 0x00000c35 Thumb Code 8 retarget.o(i.ferror) + fputc 0x00000c3d Thumb Code 16 retarget.o(i.fputc) + main 0x00000c4d Thumb Code 64 main.o(i.main) + Region$$Table$$Base 0x00000dd4 Number 0 anon$$obj.o(Region$$Table) + Region$$Table$$Limit 0x00000df4 Number 0 anon$$obj.o(Region$$Table) + g_u32comRbytes 0x20000000 Data 4 main.o(.data) + g_u32comRhead 0x20000004 Data 4 main.o(.data) + g_u32comRtail 0x20000008 Data 4 main.o(.data) + g_bWait 0x2000000c Data 4 main.o(.data) + __stdout 0x20000010 Data 4 retarget.o(.data) + __stdin 0x20000014 Data 4 retarget.o(.data) + SystemCoreClock 0x20000018 Data 4 system_nuc100series.o(.data) + CyclesPerUs 0x2000001c Data 4 system_nuc100series.o(.data) + PllClock 0x20000020 Data 4 system_nuc100series.o(.data) + gau32ClkSrcTbl 0x20000024 Data 32 system_nuc100series.o(.data) + g_u8RecData 0x20000044 Data 1024 main.o(.bss) + __libspace_start 0x20000444 Data 96 libspace.o(.bss) + __temporary_stack_top$libspace 0x200004a4 Data 0 libspace.o(.bss) + + + +============================================================================== + +Memory Map of the image + + Image Entry point : 0x00000199 + + Load Region LR_1 (Base: 0x00000000, Size: 0x00000e38, Max: 0xffffffff, ABSOLUTE) + + Execution Region ER_RO (Exec base: 0x00000000, Load base: 0x00000000, Size: 0x00000df4, Max: 0xffffffff, ABSOLUTE) + + Exec Addr Load Addr Size Type Attr Idx E Section Name Object + + 0x00000000 0x00000000 0x000000c0 Data RO 733 RESET startup_nuc100series.o + 0x000000c0 0x000000c0 0x00000008 Code RO 839 * !!!main c_p.l(__main.o) + 0x000000c8 0x000000c8 0x0000003c Code RO 1017 !!!scatter c_p.l(__scatter.o) + 0x00000104 0x00000104 0x0000001a Code RO 1019 !!handler_copy c_p.l(__scatter_copy.o) + 0x0000011e 0x0000011e 0x00000002 PAD + 0x00000120 0x00000120 0x0000001c Code RO 1021 !!handler_zi c_p.l(__scatter_zi.o) + 0x0000013c 0x0000013c 0x00000002 Code RO 828 .ARM.Collect$$_printf_percent$$00000000 c_p.l(_printf_percent.o) + 0x0000013e 0x0000013e 0x0000000a Code RO 827 .ARM.Collect$$_printf_percent$$0000000C c_p.l(_printf_x.o) + 0x00000148 0x00000148 0x0000000a Code RO 826 .ARM.Collect$$_printf_percent$$00000013 c_p.l(_printf_c.o) + 0x00000152 0x00000152 0x00000004 Code RO 852 .ARM.Collect$$_printf_percent$$00000017 c_p.l(_printf_percent_end.o) + 0x00000156 0x00000156 0x00000002 Code RO 888 .ARM.Collect$$libinit$$00000000 c_p.l(libinit.o) + 0x00000158 0x00000158 0x00000000 Code RO 902 .ARM.Collect$$libinit$$00000002 c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 904 .ARM.Collect$$libinit$$00000004 c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 907 .ARM.Collect$$libinit$$0000000A c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 909 .ARM.Collect$$libinit$$0000000C c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 911 .ARM.Collect$$libinit$$0000000E c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 914 .ARM.Collect$$libinit$$00000011 c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 916 .ARM.Collect$$libinit$$00000013 c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 918 .ARM.Collect$$libinit$$00000015 c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 920 .ARM.Collect$$libinit$$00000017 c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 922 .ARM.Collect$$libinit$$00000019 c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 924 .ARM.Collect$$libinit$$0000001B c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 926 .ARM.Collect$$libinit$$0000001D c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 928 .ARM.Collect$$libinit$$0000001F c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 930 .ARM.Collect$$libinit$$00000021 c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 932 .ARM.Collect$$libinit$$00000023 c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 934 .ARM.Collect$$libinit$$00000025 c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 938 .ARM.Collect$$libinit$$0000002C c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 940 .ARM.Collect$$libinit$$0000002E c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 942 .ARM.Collect$$libinit$$00000030 c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000000 Code RO 944 .ARM.Collect$$libinit$$00000032 c_p.l(libinit2.o) + 0x00000158 0x00000158 0x00000002 Code RO 945 .ARM.Collect$$libinit$$00000033 c_p.l(libinit2.o) + 0x0000015a 0x0000015a 0x00000002 Code RO 974 .ARM.Collect$$libshutdown$$00000000 c_p.l(libshutdown.o) + 0x0000015c 0x0000015c 0x00000000 Code RO 1000 .ARM.Collect$$libshutdown$$00000002 c_p.l(libshutdown2.o) + 0x0000015c 0x0000015c 0x00000000 Code RO 1002 .ARM.Collect$$libshutdown$$00000004 c_p.l(libshutdown2.o) + 0x0000015c 0x0000015c 0x00000000 Code RO 1005 .ARM.Collect$$libshutdown$$00000007 c_p.l(libshutdown2.o) + 0x0000015c 0x0000015c 0x00000000 Code RO 1008 .ARM.Collect$$libshutdown$$0000000A c_p.l(libshutdown2.o) + 0x0000015c 0x0000015c 0x00000000 Code RO 1010 .ARM.Collect$$libshutdown$$0000000C c_p.l(libshutdown2.o) + 0x0000015c 0x0000015c 0x00000000 Code RO 1013 .ARM.Collect$$libshutdown$$0000000F c_p.l(libshutdown2.o) + 0x0000015c 0x0000015c 0x00000002 Code RO 1014 .ARM.Collect$$libshutdown$$00000010 c_p.l(libshutdown2.o) + 0x0000015e 0x0000015e 0x00000000 Code RO 841 .ARM.Collect$$rtentry$$00000000 c_p.l(__rtentry.o) + 0x0000015e 0x0000015e 0x00000000 Code RO 854 .ARM.Collect$$rtentry$$00000002 c_p.l(__rtentry2.o) + 0x0000015e 0x0000015e 0x00000006 Code RO 866 .ARM.Collect$$rtentry$$00000004 c_p.l(__rtentry4.o) + 0x00000164 0x00000164 0x00000000 Code RO 856 .ARM.Collect$$rtentry$$00000009 c_p.l(__rtentry2.o) + 0x00000164 0x00000164 0x00000004 Code RO 857 .ARM.Collect$$rtentry$$0000000A c_p.l(__rtentry2.o) + 0x00000168 0x00000168 0x00000000 Code RO 859 .ARM.Collect$$rtentry$$0000000C c_p.l(__rtentry2.o) + 0x00000168 0x00000168 0x00000008 Code RO 860 .ARM.Collect$$rtentry$$0000000D c_p.l(__rtentry2.o) + 0x00000170 0x00000170 0x00000002 Code RO 893 .ARM.Collect$$rtexit$$00000000 c_p.l(rtexit.o) + 0x00000172 0x00000172 0x00000000 Code RO 949 .ARM.Collect$$rtexit$$00000002 c_p.l(rtexit2.o) + 0x00000172 0x00000172 0x00000004 Code RO 950 .ARM.Collect$$rtexit$$00000003 c_p.l(rtexit2.o) + 0x00000176 0x00000176 0x00000006 Code RO 951 .ARM.Collect$$rtexit$$00000004 c_p.l(rtexit2.o) + 0x0000017c 0x0000017c 0x0000001c Code RO 382 .emb_text retarget.o + 0x00000198 0x00000198 0x00000064 Code RO 734 * .text startup_nuc100series.o + 0x000001fc 0x000001fc 0x0000001c Code RO 779 .text c_p.l(noretval__2printf.o) + 0x00000218 0x00000218 0x00000052 Code RO 783 .text c_p.l(_printf_str.o) + 0x0000026a 0x0000026a 0x00000002 PAD + 0x0000026c 0x0000026c 0x00000058 Code RO 788 .text c_p.l(_printf_hex_int.o) + 0x000002c4 0x000002c4 0x0000010e Code RO 814 .text c_p.l(__printf_wp.o) + 0x000003d2 0x000003d2 0x0000015a Code RO 833 .text c_p.l(aeabi_sdiv.o) + 0x0000052c 0x0000052c 0x00000006 Code RO 837 .text c_p.l(heapauxi.o) + 0x00000532 0x00000532 0x000000b0 Code RO 846 .text c_p.l(_printf_intcommon.o) + 0x000005e2 0x000005e2 0x0000002e Code RO 848 .text c_p.l(_printf_char.o) + 0x00000610 0x00000610 0x00000028 Code RO 850 .text c_p.l(_printf_char_file.o) + 0x00000638 0x00000638 0x00000030 Code RO 870 .text c_p.l(_printf_char_common.o) + 0x00000668 0x00000668 0x0000003e Code RO 872 .text c_p.l(sys_stackheap_outer.o) + 0x000006a6 0x000006a6 0x00000010 Code RO 877 .text c_p.l(exit.o) + 0x000006b6 0x000006b6 0x00000002 PAD + 0x000006b8 0x000006b8 0x00000008 Code RO 889 .text c_p.l(libspace.o) + 0x000006c0 0x000006c0 0x0000000c Code RO 946 .text c_p.l(sys_exit.o) + 0x000006cc 0x000006cc 0x00000002 Code RO 963 .text c_p.l(use_no_semi.o) + 0x000006ce 0x000006ce 0x00000000 Code RO 965 .text c_p.l(indicate_semi.o) + 0x000006ce 0x000006ce 0x00000002 PAD + 0x000006d0 0x000006d0 0x0000003c Code RO 233 i.CLK_EnableModuleClock clk.o + 0x0000070c 0x0000070c 0x00000010 Code RO 236 i.CLK_EnableXtalRC clk.o + 0x0000071c 0x0000071c 0x00000080 Code RO 609 i.CLK_GetPLLClockFreq uart.o + 0x0000079c 0x0000079c 0x00000080 Code RO 740 i.CLK_GetPLLClockFreq system_nuc100series.o + 0x0000081c 0x0000081c 0x0000006c Code RO 246 i.CLK_SetHCLK clk.o + 0x00000888 0x00000888 0x00000028 Code RO 249 i.CLK_WaitClockReady clk.o + 0x000008b0 0x000008b0 0x0000002c Code RO 384 i.Hard_Fault_Handler retarget.o + 0x000008dc 0x000008dc 0x00000040 Code RO 3 i.SYS_Init main.o + 0x0000091c 0x0000091c 0x00000030 Code RO 481 i.SYS_ResetModule sys.o + 0x0000094c 0x0000094c 0x0000000c Code RO 386 i.SendChar retarget.o + 0x00000958 0x00000958 0x00000034 Code RO 387 i.SendChar_ToUART retarget.o + 0x0000098c 0x0000098c 0x00000064 Code RO 741 i.SystemCoreClockUpdate system_nuc100series.o + 0x000009f0 0x000009f0 0x00000002 Code RO 742 i.SystemInit system_nuc100series.o + 0x000009f2 0x000009f2 0x00000008 Code RO 4 i.UART02_IRQHandler main.o + 0x000009fa 0x000009fa 0x00000002 PAD + 0x000009fc 0x000009fc 0x0000001c Code RO 5 i.UART1_Init main.o + 0x00000a18 0x00000a18 0x000000b8 Code RO 616 i.UART_Open uart.o + 0x00000ad0 0x00000ad0 0x00000104 Code RO 7 i.UART_TEST_HANDLE main.o + 0x00000bd4 0x00000bd4 0x00000036 Code RO 623 i.UART_Write uart.o + 0x00000c0a 0x00000c0a 0x0000000e Code RO 816 i._is_digit c_p.l(__printf_wp.o) + 0x00000c18 0x00000c18 0x0000001c Code RO 8 i.delay_ms main.o + 0x00000c34 0x00000c34 0x00000008 Code RO 389 i.ferror retarget.o + 0x00000c3c 0x00000c3c 0x00000010 Code RO 391 i.fputc retarget.o + 0x00000c4c 0x00000c4c 0x00000050 Code RO 9 i.main main.o + 0x00000c9c 0x00000c9c 0x000000a8 Code RO 393 i.stackDump retarget.o + 0x00000d44 0x00000d44 0x00000038 Data RO 250 .constdata clk.o + 0x00000d7c 0x00000d7c 0x00000030 Data RO 626 .constdata uart.o + 0x00000dac 0x00000dac 0x00000028 Data RO 789 .constdata c_p.l(_printf_hex_int.o) + 0x00000dd4 0x00000dd4 0x00000020 Data RO 1015 Region$$Table anon$$obj.o + + + Execution Region ER_RW (Exec base: 0x20000000, Load base: 0x00000df4, Size: 0x00000044, Max: 0xffffffff, ABSOLUTE) + + Exec Addr Load Addr Size Type Attr Idx E Section Name Object + + 0x20000000 0x00000df4 0x00000010 Data RW 11 .data main.o + 0x20000010 0x00000e04 0x00000008 Data RW 394 .data retarget.o + 0x20000018 0x00000e0c 0x0000002c Data RW 743 .data system_nuc100series.o + + + Execution Region ER_ZI (Exec base: 0x20000044, Load base: 0x00000e38, Size: 0x00000864, Max: 0xffffffff, ABSOLUTE) + + Exec Addr Load Addr Size Type Attr Idx E Section Name Object + + 0x20000044 - 0x00000400 Zero RW 10 .bss main.o + 0x20000444 - 0x00000060 Zero RW 890 .bss c_p.l(libspace.o) + 0x200004a4 0x00000e38 0x00000004 PAD + 0x200004a8 - 0x00000000 Zero RW 732 HEAP startup_nuc100series.o + 0x200004a8 - 0x00000400 Zero RW 731 STACK startup_nuc100series.o + + +============================================================================== + +Image component sizes + + + Code (inc. data) RO Data RW Data ZI Data Debug Object Name + + 224 26 56 0 0 21526 clk.o + 468 94 0 16 1024 134578 main.o + 328 132 0 8 0 5753 retarget.o + 100 46 192 0 1024 640 startup_nuc100series.o + 48 0 0 0 0 502 sys.o + 230 40 0 44 0 2611 system_nuc100series.o + 366 34 48 0 0 2993 uart.o + + ---------------------------------------------------------------------- + 1766 372 328 68 2048 168603 Object Totals + 0 0 32 0 0 0 (incl. Generated) + 2 0 0 0 0 0 (incl. Padding) + + ---------------------------------------------------------------------- + + Code (inc. data) RO Data RW Data ZI Data Debug Library Member Name + + 8 0 0 0 0 68 __main.o + 284 0 0 0 0 136 __printf_wp.o + 0 0 0 0 0 0 __rtentry.o + 12 0 0 0 0 0 __rtentry2.o + 6 0 0 0 0 0 __rtentry4.o + 60 8 0 0 0 0 __scatter.o + 26 0 0 0 0 0 __scatter_copy.o + 28 0 0 0 0 0 __scatter_zi.o + 10 0 0 0 0 0 _printf_c.o + 46 0 0 0 0 100 _printf_char.o + 48 6 0 0 0 88 _printf_char_common.o + 40 6 0 0 0 72 _printf_char_file.o + 88 4 40 0 0 72 _printf_hex_int.o + 176 0 0 0 0 84 _printf_intcommon.o + 2 0 0 0 0 0 _printf_percent.o + 4 0 0 0 0 0 _printf_percent_end.o + 82 0 0 0 0 72 _printf_str.o + 10 0 0 0 0 0 _printf_x.o + 346 0 0 0 0 92 aeabi_sdiv.o + 16 0 0 0 0 68 exit.o + 6 0 0 0 0 136 heapauxi.o + 0 0 0 0 0 0 indicate_semi.o + 2 0 0 0 0 0 libinit.o + 2 0 0 0 0 0 libinit2.o + 2 0 0 0 0 0 libshutdown.o + 2 0 0 0 0 0 libshutdown2.o + 8 4 0 0 96 68 libspace.o + 28 6 0 0 0 84 noretval__2printf.o + 2 0 0 0 0 0 rtexit.o + 10 0 0 0 0 0 rtexit2.o + 12 4 0 0 0 60 sys_exit.o + 62 0 0 0 0 80 sys_stackheap_outer.o + 2 0 0 0 0 68 use_no_semi.o + + ---------------------------------------------------------------------- + 1438 38 40 0 100 1348 Library Totals + 8 0 0 0 4 0 (incl. Padding) + + ---------------------------------------------------------------------- + + Code (inc. data) RO Data RW Data ZI Data Debug Library Name + + 1430 38 40 0 96 1348 c_p.l + + ---------------------------------------------------------------------- + 1438 38 40 0 100 1348 Library Totals + + ---------------------------------------------------------------------- + +============================================================================== + + + Code (inc. data) RO Data RW Data ZI Data Debug + + 3204 410 368 68 2148 168479 Grand Totals + 3204 410 368 68 2148 168479 ELF Image Totals + 3204 410 368 68 0 0 ROM Totals + +============================================================================== + + Total RO Size (Code + RO Data) 3572 ( 3.49kB) + Total RW Size (RW Data + ZI Data) 2216 ( 2.16kB) + Total ROM Size (Code + RO Data + RW Data) 3640 ( 3.55kB) + +============================================================================== + diff --git a/485/nuc120/Objects/485.axf b/485/nuc120/Objects/485.axf new file mode 100644 index 0000000000000000000000000000000000000000..465e38cbc513a3d8646ba54edfa6feba2d5bedf3 GIT binary patch literal 196224 zcmdRX31C#k{r|k(Y*_A)fJTjRIn+qiCgG6!vzlZ#OIC8ZSq?3Bi4Y|fA%$RRYg;@E zY89i3XoDBt;?aVL&wS@Q z-MHQO5e$4M$t7!OYl#8)wcaSu*~Pn(ga_v|}Y>9V_yZ z{gXqJ1v4q%&_%r?p^XEN{z)R#H30t(s2rYTLr08?bmjMAy~hr`?D+3zQYEZx+uGOy zv_h}Q#*Rb6YuG{7n$Yk;m9Zq-diW3s1<8)_$9Fw3kgZA8gbUdEs+yK6Hteb-@g2f#C|tjD*kY^juVin( z^^2{G2c1_FmEU>zK77r^gIL$%-VbpLcHhe9*7@z64okmRP>{4>ANv;Dn5yX*KRx+9 zZm+e1#VB4wD?7&1f89KaPhUQwoGlqTb5VZY#)4s8?H9#|PGenG?1mca#qs;FE_Ptv z(yQBlvR6TIbnj)Yu?4I0LmOgXS(Ri5M7zREDK-}#FWT+YlGq7(OV6qK=mpBxXQRlM z-$i-KV zykr@oI{GO?UxE+f9V=r=>c_+L8ME4tE(x_p7hud;apCgNMQmu~qDad6^^X(biv}h0 zmdr%tj@mVFIYa)}y1TpQgK7Wmw9qI=u2&!*kW4opSwglASg z;{LDdyD9c7L{I^IPWH#Z`u)!^t}<5FF+PDY%t{rr$DA0&^N5;aH?Xe34OXm5 zrpuD=Zwbd1hGSI=`?e?hOtmiVimd8?PRV|;s!*(|s?RS=tSf#JAC$Z)IyYW``W(1x zAqy=DE#0ui3Wf5hT(x*%V!SS{TQ##_XtXLnIi!xw%o`ew7p0=p z*!_i4j`P5pfpx5%+H}>v{Zi4^XmVjY>&>jP_#zw&O)MU5Wm_-U&JK;N4TGm(=%Tm< z9*QTbvz6?1bfl{wnMNdAn{fUap9lVE)uNh@*IKb{TNXAI@_c#8yk*_nuGvZB|NEb? z`*&?&EHyh;*E)AbEZI7LRwoW;&zRLVw-3%^EAnF9+XiGEE9|wnc=1eY*cphM(16JBeR{1c zzG#{?VDIGO;&W$OLrIoBkJ$(n_Ap%4O0gvV{q5d$UuBtse zw0AxtV!%+?GjM3{P_(L79~O&E568NuEt^@AidHR~W*wThwtL(0yZ*w$H#Il2Lk?KO zkEZ;%qui1Ri?$ zwxP*`kv{X&@`EvNi;aY*MnY~4T^w3eGk9HD-9Z=u5}5-bJ216~W{NkxvgO0w4c!!3%h9H$HDZ*&en~qAOIAZtn{(xBMlbYQzzw>Z(jv-b1PmeK7Q_-X> zmcR$o!&rBiu|Ao(t+VHy(mroq`<&UaOxrx}q^pv!iI)W_=PYi6t zVXU{4hyy4X`shM}^i=|tqn|FoRg_9n<^d|f*g$pYa2Gft$~@=*Ml~wTL)F63zPQRL zqC+|uT*kuD5-5l812}t+zEF_~jP2Q%iGyO2;nD&Cwztw(;L>Uzk%JCPNKOa)_GR={ z+8@lRJ;vc$Z9j2{22--p2(O_k(YJ5^zWqQwY#=vt_+k8OLt{r%~Hu>EK&JhHx!HJq{^?DU2Oj1oOX5n$i74{&JBZM1_(wR#{|` zeWQvPhzwRiQ=M#4(T8xWQvoe`a ziD@DsG_4{*@)Jg*cv0$feu#o*&;b?GMhA?Y7~x+h(HC{}nGtC#W9{+~s2_+!#!gmh z;-94wqU2Lle$ve*Lh6rm_yIUNR1L`4KNN|h`W=D9T#+c*gY2585{z|@;XQc%|8b9= z`dwBGgMT#0qOq2-1xLsOIGR~vNOMNu(4hc{xFUTyKA1Fhhg;{KGJX2Dn04BK!v2_E z_Og0~dWEBT(MU8u+AA83_Kx<6_U+X#it`98<9~D>!F=*dbEtFE-n+rE2-wy5jK-%7 zAH6OiV_ifYa^;QM^%i-*jE{o(qaiQ9Id7CjtuLE5%G+r${P7e%o1z%{@LgT>^Rq%1 zSWL-xA`0nP$*Enp<5P?SYF7jm$JZlWh2vgmd+NQ!xdtQ1gZQp4I_<2yv%}};ydQ!W zMcTZoyfp~+(Omu$ZinJ3LFN-ZTx1KCvk1Bvz9~(%_3I^$DLn%5cN#v#qsq7niX_si zPO1*e!BYr1)j@Ghft}R03R{mf>8gu<0WUdqrLIUk)kQx$D||N9ROFk21M1RLSHeAo zPl@Ct|M4{J?Z9z$(SoxgXXl+0{-wajq`nw_ypHRC>gcPBIz!DxKUMzOfRp@Kp2zVu zl}Ghoicbv3Dt$N7Auat^Px@X@`aVy3l_&k6OepdWB$67H+(;}Z8Ys#Kk&}BS2RsO@! z4L}*yML%|Y8!uX*e6FS~%>2{nNI;Kz2PpkXd@%J+F34e%ws><6AImMq!NAm^0@&V0N zhe2PX^+lC;9CQdV+m|&+$B^bRUR~7DQ1lC~BfDopcO-OEihfQ}o-AUIJgWM}bgD#W2RQ88|V{{PpxU6 zJ@wE-r?$_YK5PDrwyE36n!;Xh9Zy6e557SbIl|Qfj zq}gpVV$)}~&SiO_$h?_z=615Yya-BUI0Md_Gy9|%{e!&G`Lo@*d)Wd7b0h-qq#dGW zsK#YGS>d_N8W*Ef#aK#S&aCh7Pl&n|zwCbO-m(}cDyDNSfwbhIc!WCiONv8Vxl7wl#oqwWVV@;9hq(A6j_MP2j_fGlLmcC=1E zd1~YQQ|ENfnT_v;_UUuyWE08esTGG-9$G#%(ROP4^tP#u6XO--_h-q4)Qu)?3`S$A7V zCgPO3CC_>+9Bz)+PoUK3h;>I4sWjIWw=Nt<`$lK zzQ47x9EoOb_q7A8jbmY&puA$B^>~#?r5ZCtv|y0c9S=8WQVq4d(Ax)D57ma7CswDq z`p`n_{0T_5)FiUC+<~tbS`Ri#3Gn+CSvNO_n(fZd=|SVMwQm8|f>kagw6p@qAm)OgGq(l}>!L+iYgTThtP zmYUs}<d*AuW^Qt+Qr{)K7;rx6Pi>GD)OQR6IGHy8ya5425}oY3r9vqF6nnfDJqI? zEewP)bu}0@L@KYey4guZOQC4VRA(l(2z5U$sI5maRJj6~tPsh;luV>1iBz#vR*Gav zX>)zOU23c}nLftOIwHKjuk@p=2P=F6hNG_B8p&TS8Al1m(Xu$H9mhs)?SH;i8qd@V zJu8I=TB@Zx^OTDcFrK^;C3TJReLq_bI#L&qI?myg9Bk`R9}*OEJ;zhBgpw)Mb}^n( z%`_r>TYq4%24s_<3OD9c1t%w}Giavk^1{OxTBR+uwF!|p3l*uWjZbKq*g)llhcB|& z$Ylfim0}RLy~s*yr_gXG>YFEZ(&14y^45Wg(o{>cmLI8V3O#DQJkp_fk&5!14ZQf! z@P3raq{j;#e37i-IN^$9b;jl_4qrHsDi?Js#|qEsMIHeKf=5tPv5{95j3{kZ1+dbl zWKtHESDI*=Xq!QeCZn-mX>$r;N`=CNnpzpMgG*D2rnoevq*PuyE=r5Bk$))wtvrDV zAv)n*g>XovZ9Ag`^+g%a@JMA`_ef=2ML*d9r&QWKrGOHdCRrd%5==4}VV6kD#(;4m zEn_z((haiU5i%*!Wilzj2g{_ymWNL-B#&d%k-ddNdV7?*JxZB8VWQLGM<_{4rp0cE z#YO0wPXE_CEj%EjDO#$kPO;LjG&3ccN;V0Og3`21@pxb(uOCeDs^XoMYP~L@I$*R^ z>uk+UI60}7)q5G-kMJZ~*r@d`t!tr~g&8Bl=ME-EIQ@zhT79TjwbV>Zif*$I-R8r= zgG(`M(ClkYw6r*l&QsF$QOaqTfB>YNvK%-AzNZo+7d|w zT7{BrT0oLC=Mi-qOai?Hib(*crDUfmVIx1-YpGS*OeY3vBAj-VWAaM*$%v#F!W&gh zF?!>iE^?zl9`?KeDUThv$Rm$2WGCpD^28{QG=Bu~$m24~gJa5bFy&D}N_ph*Xv%|Q z$}_GMBf7L0CnCF9t8ko>@(wgoop_rSfni!o!z15?*+C_$7$sNA`g{)ui2;Z|0o#l%#K2XpuM~*7i^87w|`=x7mY5QgB zI3*i-?Y_8SUib)dAgt919g~y#jGc;>){a*e;Ow2mTvUa2ZDyi!1_oy3B8GRWF(QRO;W zB-qI9!_Eq!*VAA{mf}o>6OcMP<0R9JbX>X?GY_7W+&Ihfr0jEcrKMj6m*Ub#wJxH! zR!qFfsuEQh=^wOgQ(9#m5xy&?{3%?3@(wMavjwh=vJJ^*QMGa;Yn9b*uyonoJS2UE(16tk9FN966N=F@5{5o61Bd2HnC z`yYWZrJ#_kvw`;^6C1PAOQGTA7;GDzzBHOARYAC_`RxG(r8N_iEwyqs&Pp4mG}p^w@^F5V za-8au<7E{0Vn!cBz*aSg`-1O1eYZ+V@VMl629d?V6CtnR|x5Ai+E%t(vw=~dX)lj z2_oa&1EZyrGs^9ZAH!|ZTsHESvVu}tjY+GjBMXuWkjGQXZJ;9EBo#w^y%3jByT4wT znA?78+uW&h+d5n4p48TP==7djS&zZW)mZn>i-xnbK0m8%0bf4P&X_YFD@QK566HBr zPnpTz+7#Xc z>{+?^mS~&R`eWRm;+2>`4_Q93tPfpT>T$cnn{Db0juTZMcUjn45#F0v$G3ITn#im< z(@##$ZTlf^6wyjixHX%d+cpo|aYfpiiY3M7S+jT+o}_WbD;mF2uU(?31XRSx=

On; zed8Tt-}sRFMtDVtI~=EB{2lE0t>8=Fi;(r4-#WKP#}&H&NcRnU!dYh+oE4JJ+H7B(MBF?27QE-%ly&xjj@aFUbO?mNzF|4AF&j#|vD zF?(aYRD)^3SlmsvlBFa6ZACGz&g;Z|RGi}$(;%tRn6^%$wI0wVc1X?|A7THI8S7tE$IXYKhzDsM*H+_|i9EVhvj z!@m%fjY}1`@(0Yw$s+;4g2t94@?Q{)>w z_S5#5e%L$lEKE*a76^7Mt@p=_$K>=wh+Sm9 z8vOr9JJXh_XYrwZFh^Y)vPxy0;&bM<@k!G}zH5b9D&xJ#JB8*#G?^0T=1hzP@mVLg z%$+Vp6AMXHH+|O0iS|>aY|_Ex87=;!-{AP~5KU{lLDV4<#z`S&b;N%>eOBAN=C-*L z=P3pDbwPnCB=?$<#<=vPR@*-`2`o5wA3T2$#ty6)f&_oGS1-)|fRlHg7333K33blz za8C&5ed)_0?BHmfcM9KeG^TC(@$3tYu(X|T4L*wyE1`7TytdA)e3p&x^y9nxurgr_ zNx--GIrrdIeiHNDez+TN=O+Q*@+WV~tIQ-@g-=LcA=m&C@;!iwwiD^mI$J@)hw;H* z0$=jH)c2b3CI)BDo zOejT#w{tIyn+QjX0j;GC9hR=+*19wp)*9!ZA~Y1Acu9d648!bL^6RXV`Q_ezR_LOs z|+5 zl;VLk2)=KRX~=0c=Zii?L)$mG-c%iWX5kX8-n%U8mAuG_c%F7TueQoFj;cL^?Sv#E^z9X&CH>*6SGv-4Nf)RRMqU!aq6q6Cq1+BAU+wKcaK5^kIJW6 zURG?iR5LeA<=RPA#G1?WlUc$2m_rxhOfCNC0#j6a_s@Y}MLn-VUws*$E~@AAmUT#f zUX8^3Q#x=-ES2S`j$XaAsNPdf-3ILL-1DobTL)j;qUEZBUHj4{Ndfw$C8Su`l0s|% zkBPBWoKwVg3hLw83~uiRcWnok`@z*3x7ratRW8H!#66xbbun`qszmok7GVw^@?W4R zH4oA*ryX~Do`y@}o}4q#BWK0SfEiKAcZ?J!)suHs~pxt+TKnz*3nyC``rd zly*vs3B%bUR<-qtZ(1GcF+02xjOF1;_9L-IadV^()p2rbJLc$o5wdm0kLBf(%DdE5 z)pZbhrl+?+WF6N&sxs4lN?X(X&Ny8Tl^`ze7jD57dC!ecJ>2&6XyO_8EQ4Q;Iy+>& zY4NsiY3r=l|COzUMR*jFWZI-gI<>u^&YccDdi41$pUqTgIU(4(7q z=mX7I{XJ??$m-JjfS4eQMHx%woF;28XU{X_-1&5f!yk$3Zrrw`3%RBfPsBP0zm|Ig zE0#04FYds<@|+dA~EK zG2l)myD{6pSYD`SYFjedy6VORZoF73(;YDTxcTE!v-zZuUxR}&vBhNF&Cr|tvO69O}%Nte?C5Ccw2?K*m>QuPUhBN%Ks~VNkBR1 zamnMI3!7iz!p2Ijv-a8#uR4HwCO+2e2ur?Zg&~@a&b$SfmJ(LFZtWF2pp=pCA@BCvY8ni@B<;o7vVn zgB1uB&Ud=1v$Y*-ctQn-aMcMkdte2}a4Ljb4Ao~F@CoxbHXxPXpW$vTiScKBk=y6A zioSc#N8(ZP|7JS~46`3ShM}&_A~X4u&H4HHsKvv`7-q-gUn~x}>-D8gmh*SKB8G1a z;(m&R=pG?Ek+e9G{t%`XaBydG0|J>sT%{MU|yogunq2YVi8`Bun+pU&!Ge!6D{{!%z2QjD4m z6(7`;>NtYChK{I~hahF;AIa@FjoVR+e-VG~_6mp(3IyW~BH1o<>jrOku=d%T{z~Y7 zIt)kmD&2SAeZvp4y~0EGB2_4aGT-6lZ>I9S@$z-`gWZiwxxEKfpdLb-T2K{Z2SI)B z=JowP{{0I2hqMI6Q^?Wn9E8LgbPyi$C6Ndsf5_lS{~_oukwLtJ^eT!Jgy-`P63);6 z7UY%TAK~9UNfZh9L%8rR;q>jV#df-p0^4zSg>2u4!a1@xbyeAE4~1Ryp^&D}Flc(` zQ?()#;y6Y(&p#eV{qtiuYR8e4e_$x&)Z^-8{W2~BSL@}Zc|Q>e8tv_%Gzs5c)EH5i!MFy%JP17qbAyPrXQW^F$GnSS0<=G$ z?I0Fz`PyuwrBm336;(d;4GBcoq&!T;`SpfBC$)N6?R z7wYtCuXsaCs%7e!L&xIvMdFxt|Jm;@@`JwU+mGx^WzY2oDmEP@WT&4}j_VV2H%#w* z4}I_p7qQ73OU`&n39{kUHPVW|bv;tH}$6m-L0{bTvtfL}YK@@M+vu3+Idd^5FdNyPc?e{vOEuSs(I(mA^Na zpG_Cm;okX01k2-9>l^;LXN~ELv^A|{<23auECN#qpZZB;dE}Efa2iw^u zDiIz9;BV!$@WUeVuK?eIKchsnN=+h=(Qsn_k$EB5ssAY%eb);;G1`B+p59#wysbA_ z1OM^o7%X;-q9AKV<6|+q8l(~;dL5|!M_>RQ4!cH_C68;H=}TZ+NUHwG&OB-Z-UyTl zmJX)xKZxUU`o2!e@x}it@$WVGXpM7#EwUSj>PflaPijs34}%ZcOJ79nsUX!G?L=Qf z>#7ae`~O4Clq);&ZU2!_3qg`oqY3|0O8sd*kd3P}^i4jXc%*L%DjfI2m;I;31F1d! zrM86;^d+=D|2pwNv|y*a_Mc`OsV(M;C#oWEA3Hw|Na(3I?#>$Ot=Wbf?ZD))Er|@U zjVf#ZX||EtgC}!+wuw44+Y~s6;Y0paf8-{zSE~XUianwbxq6~zztGyf8F|5}#qNn* z;0y{>$P9ceX7?YYcznpdO^D#O6k4CZ?7lJ@XjH10NYx+7v`Ud!k1cYo*TbZb@bQ8V z7!QgpWIX>{gn#eDM`I-xA+pCYa+46b?5%=W_dAk&)OPm|8aDR8$1_xxaFMGW^|}m( z+Ef=RkMbSi(TDL+b-z$vxPLg0tVP`T(*vL%=;*B?zSpgNPIhLDS%b4#dXaB^swO+B zHrM&*vBUN=xDwfU8Xq!H3UPs&XvTSztoX(kZ=ltj(X6>KNwqz1` zk!PYdUf&X9p#!s7Vrpz*)*eR)1DWKiEoYV4BssUd^<7Au=-O4cOti!ylmDzpAX>3W{7r1Y(n zZsh6Fl>UoFZ=6US7b_c6Q3?F*R+7xjHdIfE*+w(#9*Z7~ZLDs<%UGbP9D`ciXVqu1 z;bL~{DKTBitcR@(UZ85{d&;V9O2*0#sQ~>t3vb}VbXYe1;FxHLgZP;mac1?W=9-YL%VrTrv1GdTm~7>k zhPvb6k8=LUtf5>wrktcwh>8y5QZxvO@uhMP7aT&4_EGYKs2F_k3X}3IZRpCjWb3A6 zVr6i~#QGzSFsPg7%tJLs8Z`9+SdkO>EdvnmPM{Jia{>=G0P$|CI3Y*nL>^*5()Ke# z9+ngNZ5@gC9Od+n(hCQbo1j4+aziUjP_#-Gui30LI?9cwR~y=ms#Lsj6@kxRDwnW* zl#u`)vn}ws%}p@cNB|*O&*MWqWrvp>)pJ{$CZ^-HSyBGs4!k0Zg#!eo*>g%OVE^Zb z*|jboJZ_p(iF2L`V9tquauvzdyvU>hn5#$! zIo^Y;%v~f`^CEeK0gMrp+e(^TMLNior^r#ci{xrv|y^f^U<9ZWbK5PA@yw0LUbJlMHXEymmv4ZxrYf(v+(0jO;C4Vx}xyN<*io^tAdL6M;J!rGHf z(4bgwLr*b51Eax>onyoX&1>A)ADXZ#9DI&)V>>AoO+d4M z+`wPDfa2#6a#YgJAnLiuj5Y^EW!8gUY(@uG*aJT|03K9r5Bz)=96e<8m>d;XdQtBS zjM$)RyRl1**uYA=!55psK~;8xFEN4xx zcWL0O%-|gE(!jqnf^)e`17Gb0<8(x)dh;;Loy|{JY8m0$0K9aX=jzpu|6>4NIqq`_ zt`8!R&ih<~8v_WG13j0~{wmz!CBVS^IWFWww{qdF0r(s)bm5l=;B&dqMQ~dXK@JzX z2<`|V$mK#8!ChVgjB%giLOu#A7v2+q&*4HB{%-;JTrPAGtPCQ^;X)U|{Q(5IT<9Wr z&`W?${y8q>!+>((!vXjlE_C4^4Z!Dep^IR35J3(Xx(J>KAjsuH7s1mm0{&ZOm`2ge ziq>?^tDBWsnNOTS{%)dB26(2s9_Shulzuu33oF@*@(Jo{&o`3kc|`GRgE^!ZeWRJ5 z!k;zZ%#%qs?l}WaMU7|q$_;$p1eEpk_}UG8!2=Aw0wbKWK(fhz z3$CGqd(nW)Q9}p#k_i}GLkIXT50KU&%%^m!p|eWSWxxg3(7|ms;BwT^0lsVk2G`I5 zzM+8Vo4k{jEjTDvhJX02$v1T^e&bFkg*JA?5ixnWL|ACEjq;|*TP_&(PUgZvZ3Exd zfw&`(14s7@RCyn0Ff?AgJQ&B-Ht<6aup&3G!Ulfi0aoS)R@%T%I1sUbAC#aQ1zG%x z1rI6Q#gu;&ahH6DF&i}~&o_>;QofyfzQ(j;Mdd+99Pu68{QS(7At^GTb?Lr#w@cTQ ztq|m#cwvazR@&Lvl&ypgH^;f|bdPQ{baAOWOV=fv(sUI~YrNHC=+^Yvx|VJT|3q{r ztt{Oqr||$&Mx@I|9Tanml4ZEf>=nXT6m^bX)aY^lZBd7LS(9ZI*bLw)zbQ+#`lVjf zsIfgzRBYU6b5V<2MOFT{MUC~c!hzT`V64?*y(qe+|JAe=Iq(9#C>a)f@G`{(Dcn(z zE~_v_#+dK;D@KuVp}(#H5q5Oup)FHMHXe^r{_X;hle1X0?Rp@yc!#QNH-_lyIdC#`$gH+YZ-LGn8&YqehfCF0&0m z{Tej__xSYT?^M;@9hNx7H@>QCLwxV)?wxEnMXsvm=&Mfu@Sayk_wcZ^fvUQ@t;#8S zysBe*xT?=kRd@Gf!zp^asy%(Od7i4eyC)k?(c@Lc8Mj9#hA)O_yV~v!!Gc3pRyU9a zTe_Uh(OCm0!IkFDs$Ec`ck5ShSQ|*{CpG;VE;jBUT*Gzo+U{iRy_6}uF6yRP8q z>ALpxN%q4K?!_i{v#Ojz*3@`K=WdJLn)`pb6VGUB*MEoTKJf0fDlz1$>6bah#>^LV zxoVmWJjLRgA64Cs>ztO|tST|&tm>EPt35p~eHx;-CGBQSIYq9j_F(+Fss5WGcvq;o zWp}3$#PE%;=;>kF0F|SkVuzAF!wgz`5qmds)z!bkqD~NgjXD_yED5`Nz22zh3xc~U z$k0{KLTz)-m%eCi?a8ol2=>?M3)D7XU~*mAf8pBlx&JRw+nhoCMQfWoj=xlG&GU#a z*S2C9J~vET{c=RIdw;)Jm~qZc~W?rfvyJaX85h2 z%+m}GnW-5hnP`UJ`N_P^;INS<$TZOmzc>~Q!{WfQ!_|1(G1u6$&Ae)OfxitGEFqY9}IM+W)O6S zW>9p(Z-4aD8JmIAnVLb;k&51OMDNn-i+5-B3+Gt_X>)44z9~LIdymne^c3;>rCMwY zsvJ!(Mxys34bQrMn_j*kX=XOt&^$5QoUU!DZRGEJYDd0FsW?PUNjFnZ) zpGA9@OfU?Xk9ttqx?^D?ZPg)z9y4o$c^x>oANQbB{An3_ztt0dO)#f`f$u2~O4^AH zY)|_&!F+OJf?rs(Q7!Og6V8FC^(bbo^~z0L4g{91cO%M`|2BB#flM3%rhmE+4b4em z6@2!LUlYWpVBmYsixRfMXV3dJIrx-)Ys0i|Qk_Vovy-apQwd(v3cN3Fomb%^^I>4x z1_#lE*UzOVim;=%!fo`cjC2|fgwHsrbW44Pn}&)$>k)G%x|&NSW!F&FDHOO~kRbH3 zL_AD@AyxsNe|h9a9)$qUW+#6ll}yUeuR!%)_Gye< z4hn3qI+*5+KYD)YZ3MtJk2ruv0AS4ymA|ewElx@G+&^fzPYo(V<=j9}ex~E%b&hSj zdk73Ljd+)dhqc&F<>xjkzd1E4>NHJ82HZhqEv@K@SSJUaZYun=fjzDoz^LSCv&_kl zR+lpdM9S4(xsk|*fazK{Ld+F#w)%rt9>}ET3D-G@>V!CbVyL>_Ej6;p?6Br0nVWC& zuErREUtHim0@KYV9p}U2Al_5EmjK-NMR6H|<()KtATN=fT%F2f<1|OdnF8@~e~@0c zIhX<^Jm6xOjCn-U zaJ%5({)djn1*4mLjaeJO?Sg}QosLGqm6Z+3ZglDOW^Dks3l8oLIvNGLxi^}%0o*P) zxS!F{Q!@3VDs6vb0D0D|4d8ac!Tr1kUE%lyL%|DXZ7{b32lq=H?R#*Bt^@yNP zKu~Vhan5rabV2>HK@~vh073bxj?*4`p^NF)Ou7J44GPvb1yVeB;oMM+jRNai2Az-8 ziv;I8I!?PCizme}EjK9xST!tY-`A1O{p?sJE?c%5bOEFe5}Y6EIA=RRtP*o-8tIb1*A3n9ucM%axyLDdjw)HV3mpgZWoFR@;9Y ztH1={0+TX;SHpsKi3h1}0LLnD*1yQ445n3B(Ei$kR4$5Dpo?5$QU=p1ENCzDAeDb& z73d$oF)4#-6&AFY>qzZ6gct_2D@?ioRt*Z)t2{_mAWdn1YtjX?DkxZguOqc5VPc4{ zWhPw!s|E$@H9C?%jU+oUg6mq7E`U{og7uFcqzVvP{<+Si3uaYNu-@Q7s`#L3!i^?f zFsp)s^=1!JxtwMSx0rOntO^R&TXm$f=YXaPe>SKBI2|A;|DxlZoBQ;`6}K5w0hA69 zlq)nG?eI~~rn=v0(wRwhC|K|IAeFPJ^7oi@!K?}j*1vg>%Gp%^dri7vRs{v?{Tzui zSxcrmGqHtsB4k@8XOq<_v8;g8!m1ouyk!Iup{NHvJo3VzB~zmoFdhod5nPa)2IV~L zVUZUJS~-sd=LjyxO@neC^RU1Wx&~9_pi{06&JkRWn+D}P?qPu;o^qZD&JkRWn+D}P zn&2G4g}7-@&N@E}U2Caw&|B6A=g3)( zMuT$xX|vFe!e~G2LBsJgMkQyLt8vuPsJD2)Ab!q;t>ZmXtvEez(go2vP_VwJA^Aod zz9oYen&_J7C8L%T%X=J-y)HDEyS!MwS&5kG8fLRmn}b=Q!TgE~OLJLlzv09M)uhY8DxqNg(1qkPZec9WBOjS`oK@a4&_m3Dg7x2C zr1C7Le*ZD)an<0PF7{=_;u_YV z;+%$#YXjlb)BEWDrfHF&L?1ZApbDb2fuKBF!|AWav>|mm?hHjLf7ntL7%MdK6#jm^^Sf;RR0jFOOpwCf) z!vOvZ&3IJ{l&~Z)$LE$|*WzL?2S1I7w!lT>uLIOM3UCNeiA&6QSqT(yX<&xWEyAwD zZ@e7*lq2eZGuF}ob&diY0@UGhGhWsK1zZuB;d6_y>u{BqgGV&QE5^j%8kL;fHz+vW zL7c^Y@5S;UBVr6-%Z$n##0m_zT;sy(Z^);st!vHNAZi^B?mxQFw7zPOW!D*0L98|q zls9TP?FFmkg_{g2Go=Ouf}-Yk>Oejh6Qt-2cNtWQRBTVsI2|ZR?{Oj3D`2UC{_58R zQz{@B@6~a9_AJbVH&+@|ft(H$q^mR}8V+j=jzb?X=oG8mN#fLA1B3P<4^+M%me$xF zHmGv4I`5W!)B`P-#=--S8B{r0B^I_m!J+b9s{E=DFP`P+R*dLRnv|San-==9pnY0L zHp%$_>i>6-Jb+9fz_UirU(+ls!-RFMM;^c<5a3y_=Wlkl3vKYo19$`iJpa`5(@&`; z_-pI<%Jee^9p}>qcIhk!3C`zq9DcP`Qo{ew`!$>pzd)&lpyafXpxmSblQoJGq4J_% zV^jJ$Z74XqI8u)87)4SE?8%ZVvgp{G1JquR8VNxEijGgx!%Y;0u=rJ`@D@hd$ zbSwMC5yo_3BV9^AV9*7S>R7No zvS&Qv?hn29`Q(3xmmNN_%Gu%`_=6Risg&VSgrOgc4Qg)H3{UE|Z3_%syQ z*4Y>ethCy)iy_9RFp+5>kZsg4aT!kt(SLg70aOwKrsp^U8=zXMv5O3r$wS$Ro)1uS zUa{BN*%YP0wL>tWoEH^Q4Lx5V%ArG9&PxGmtsFUXaG-7$l(AWn#I?%sV_C|}ff=-N zeDyK0p|sa*GObE+txm58X3$D=)rzBKwGZw2XjIqH-v z9A}_F3Ga9rGSxLrSelb%AhO;K&XJ=O7Y)kUYO~OuMY|?qA0IBtKM2yB%J5U5gpa%o z$^?6_;K#u^a+KntK{?wviyY%n5pg8rY`am(*-d@Mz=9G!t-~FpV6`ObG>w_Lc}<~K5Nni@@io4KCfe8aLwe3vBF^N4KwLDuk3DaYZVl% zFM5#9;Qf+c6U-=J;M*)vQ!=TBT5@YDT`5LYJUeE+Y*NbV%V`id)Q1M~t6uEn$|<7y zxVU}Iq|8Yy(IDR9!FE>HiHSAZ>kX4m^6GJ58?3FQto8oi2|O*RaC_v)zn#6;OkF;89T*97p{F!0^4qvFZ&iV%Htl|dE2 z=m0_appL6GcTfO~@? zh~o*bWRnv@8+{6s7c>yao^fH+lpo>qtWOa{=1lsZcVjYX`=a>;zed&B0`Elfd@ zO%37&6__btoY-R0c`fxr!TP2jDIRb^>%3*s`RTDL9`t#wsf% z2qwPo!ZfQVv2hmI>emFBr(odwh@;TX*ka^v?vMQ%FP|3%zW?Z`xHI@{^U66Fp2Tql zBN-t<;QBBA(?+i%2N_3!>=`#^lpsSVdDg4QLB>%a zd)|$~%_(#^nw-AiRpcP!D3HDA#)ya5D>3hQ$*agg#!(>aa$`iNtwaNC_9}9ass8$k z3-cXbOWNE0sz)A7#W67Jbr)isV4@MqBM)Zc7%;u*LL4TTXjJmZgPAx6Oz*f5@-hf+ zf7c@qX5ttyz3)PZpr^;pw|eBkOdJEI4_ycm@o@M@9&s>{3ip4z5F*;)@Betj!9*(5 zx4RG`(&6q;JmO#?73QD15HiX!5P8JGL@LC0xey}4;p}dYIG9L<_ZfwJ*uixVzxZ+P zgq-PBNG@mYc_13kSM$B@FAB_+423uOvLruL+=ZV?la> zfHva}3IAZ+g6}SLOU+~&21FN28)`5IOwd2lwqJYYW-<;@IM7`tU@4q9=p)H~eR_W38(eMQ z@0JFT@a*7tK+9b%DumPMgKnvrLu3cXBPut4T@D(6f0J+MdflkxjQIIMd3huo&5H;3 zY8QOG-RBwDGr`H@%F2@UR2dD$+-2|Y&Wl!3HMx$wZO1*r23*AEg zlSl66^B}aT}35Ye7Q$%=8*{S+-B#`OtE<|tGV4HH}TjA@T{=&$LrImTQZdt z<7x%2itjWjO^j|VXzz9*Ga4;s_V<{SL9`AQw12aaXoKU_>KQ#Cd#_JpBGgb|yU)g? zbvB&g?)Pa-Y#It|57-#G{UigM9)x|+t1xjn7*IWIBhphc;#EQPM8P9&F{hEsMNV*v z{2+PE&Ye*tXwubgsfj~n2geh3?w0!MN%l6}Cp}_Qg=_$5p0@Mjr@!%HBsCrRyH{=^ zav)$@VpGlzj?Ik`aEnpNx%JK> zh;=ZC-{M&8mZ(22uJ&c15#Dx-y;axqgXCS6JMEC572b1;4J3AckZe`CsW&Q9P`eL2 zas!Qn0MAEG{-#MuNrZ9WW3SvuWJAF89|tipp_nk5Z}ZBHOg02epC|;4Zqg)pd51@A z;Bf$;+3DoB?WCFWXI{CH$cBKa+dQP4R~<>Qb(s<+!(qR8MT4jIv(8T>SzSNyep0g&UrqK*9p>E zg#z2JbPS?evZ0MH@M!|r6bfuhbW8?s)s=5TqiNGc23-K7g9PWV-MHF@=8Sl+6fGNH zVp0aO+E~zD=0+wb;>Eyx=8PWq8-p&8R3X86xf_?M6W*jX=_~xIKt_oJ;Z<%FI)bnf zv#8(tRe^*O2g2XGQRokX5NGCPepMi$#DVY{9fi}I-8wW2y4Iu&Al0y-{i7SHe9Rvs zyUw5sBvnXo-r&aBt%ESS(XR?*bXwp|1_$1uqcHeorqChu3asJH6NW6r4`3E!z%sKp@-TU?_vSbVbZ;^lOZC8Vr2T z3KVTq*0w4?=azCFu~St{F1T$P21J_#q`8Slqxct{4~D(y*C;}b%>x8um%xcl(3)|Z zy>i87-(eT7BZ#(Z`ZWQg4bPta(y#k9KF@hzFz*cqr8WbLD>hX5O_R>Z>4Jjw9f_nj zuj0}oD_5Q{)V%B0_!-?W@V)P#R8iur3M;pobVg1W6s#W!U zsLjEw&|vQNV(qu*(M!h`mhgyIuB@NHwKF9G3K3V)aGDTXfU7c#oF)X zqv!3RrFd9n6OooMI4Vxu+(vqFRUJTF$gorzwTcD_-WgIIw(}|2ilG=eMsHc?20*P{^fzj@mDzhIWR|XDIOk_^A`h) zQx`P*ZGjm+uNa#K#oXaz(Hf1Ozh4oUA$Ktv4T`zTz+#(0jemDwhR-X;ra>`(6D&AC zV%ta-6Vx=nilNsJ-|JU#W_=Rw!GZ8TABrAu;wvB(-@`tf*!hQbnnw((T$F12-(xkuQsT1QL24_Pxx?RFCcDwJ!w$oqEs6K zpZ4Lzjd6tU-wmowZr?SYtttu?4}QL0UX>wP$}Q4ptz4F**%O0`|^pFW(} zbAxz)#-PeYsWuKi=fl~X2cI{na#5-+gf9vlpL0}Ve?W`--11U@no}F5EglLqR+o># z9u#P7*&LwGU4Tu20{&b|FEhu7?&ssnxy!ZOuW`A=00ZA`I*Kn~Nk%l>?Iv9Srv?S< z3LVK8pwxvL?#!EX0jwGntas~3d2>Bc+br*aqCeadn8D*<#}{526!SNor8+LQ-Ozn{ zuROqL2?5i6IszLF>>UaiTJJaM0vI(YSRc@l{MTggJ_~*(zy-sDMr8o64hHeVIyOl$ zhAV7-hC%2)Vp0b1YFN-d=0&P)SaeOc+N8`uE3u$`QX$h*AgsoXF8)RK!rZ6KTFy+% zN^%)U1cHwN^#4!<@*a`q4$!YLYYm3`;NV{8N87f;C+h>$IoTBf=r?-s$#iuCeuR!U zC5HHa2B?GSH3HB->%pg|G*_on@+K5|;d4Ry-~x0Cl<KQnc7rh3J(|zRc{2SIlXgQ6n#m?yGDTm-c}5l2;+wp?OPJmQ{OS^43>MKV13Vx zbZ)<(54~^F1+v;uus*gw-UXR$ZpK4@$EENZL4H36VYk{NSG89|i5CQ_Cv*tj=BH-s zPkQ9RR00I5r)@~QSsI0w`MX;hz@reLS)&1@L1==tZfPKmZOsM_;0v)WY2hMTW7y~x za~`>Ig?y^#hb_q!og^&7u?bS4mHnwQO|8pF<){^131*g zax>4}OmCB!+)z0xb8NHRN`+8-*`VWe>Vl6V$BTr2Ue$5s6%Hp_>@|ZffYU*ObBm3O z*NwtWBN5#gLf-HyOl%GYRB!2sRO2K;g@NvEuOfg-VnFq-j=*y(k_+SUdp=D7nL>eW ztBz6gPDI5A9(e$hK!E2XEq|r*740qk*sCxzDGaFoqa#%PXdA>fuOfiT@zp0fLe-l8 z(8>;Po9 zV*X68B7n;A)!8~i)tZ*o&+#b&xD*I>Ez&X4RcOJ*9&rGX?WyzZ{E6dg8|6@rNI%~z zHxW4y7<7RPAxCq>`GsD25R*NeUt}ZVb>*t{Fq6C3D>pGY5ZH8yj!=zt5s zcE8aPsu^gH+)|$+fJ=d3))hKNwjCnsN{={z$Zose@%-^d1T#MJO5^t~A*Y}V4Eqv; zPJ9jm##|!+4Pe1XvRvyDnpqqKSgzxMW_l5Y^D>I-T|y&^h5*Y=0wC_I&sN6V?3Vi6 zqGboipG9uEU5z=KcJiam?aNI{AE6Hm+S^=6=Pbr=ncQwt2GKfL(5`Th7@6=3#B%zA z$hy<7GE!)YRdP4dZNYo|svLwI2g1L3QDR<$Ve4MMCI_FI-`wX#i8&6&uKWF( z9DHi7^MDJb9EjoKL7yUsO@dI_hdmhKJd7BR_!Plp%5#r-Fv4+Y+0{NpFqv}O6CR8` zGknsg2qsfL`@6*88CM=XNhj+5;aBmeJO{H+&i|n+FA_y`^E&4ja7owohYb zvr%AsSHo1}X*X#b;`cqD#>{4;z_!(e!BkV8TV;@- zKb&Jw1rgdnP%hGNysd?S=o5?m8Z)5-1K)WXiZ`|3!zt{1zsAhxz`%EbgF<@?GIX&E zJz^u10)XaXmA_I9Byv>@j{CJwW8l(JV7pYqP-9CrIPEf@#>{4;z_wJwP-{yz)betl z#>{4;z;>kzg9Q(5Ai2t*3u3g9;QXD2|xpFzW`N!bs(SKz5Ucp#~RZu$IgRfs zT1SEF0Rh6qh7U@L>p_p$%%!8i^@spfn1m%-HoIK~_ey2f|i;@FD`F9`AK1uw;pvpzbfuLN+an1BY7)|o(8g5+gmU@Zw z?BLj_=eGU!Pq#FHLwW02J-6+s=iJf&4&|N~^xU>*Ho2t%9LgVEJU4&gBPKwjGR~}H zvtQ%o^1|SoS6rw{#fPEdRlg>PPr<2k12C|E!CA}b^-y!mgFE(fcG zf_0l0SuR=O)9of*4ps>T>!%#qa%^LqZjaMXHL2U=bX#_(S?gss!NJ|_Lenox>D&v; z=t@9AZEX;@odIVHaG&Wy(=RXT+-I4!LEJhV+`n|8@k3iK?nP#85VsBo_tKH{!_%oo ztmW{3_dX@N(WdS&!pr#F3@yW`4qZ^HkAVc+3z`~8f&<R%wX10QC=r1N>5(j>J}?5$2c7Un&nP@ z$wChq{lz8q*N~Hl{NT9V$z9$+uL#86C%O-Rhes}Xn{8W@5TZXUNsoW@-^pJzGxv0e+_Q(UM6b4L>Itbisb6V{&kDN2fJp$Bb!X$|R&*M&hMT0hb z!YvIj$66z>oM(+0oB>me@;Rz1T}iOJZ_gO41Qo^=VOOmB|L zk2uI2r>nl@AhZtuwp$utj>GaE&mZT0R~?Rb`1idEKb0GW_WHoV*j&`%KlI20s1ycF zA3F$FhyS-n&YAQM&nx0|)oo6Gt;273O9RYtSavx1^$!23M=W{t4$sYTy6R^RLhJCm z+|mGZ9G26+MT1E_CPnm-9rO&B(CZg1GrW5?&zq)O$SsXcP0e(`2YRgP8dP2~9}tv_ z99%}xVFJI{BQ{bg0BFv0@>eSw3}okf#6}tg0L_ImKinfKDCTE4&oA+7{A6wz_%3!( z>RpSd+^^kIBaic*#Y>&s)y|s=FLO(c95OrXxSZ$C;K?ZZ$d)=CbDDlF5nt(qIDuis@plH5*B~Ddl*>FgEJ=>%#$k`n)h1mqse*#_S{IVS6x(d% z7C|h(nzcd9Ivm{BxzNpNxxRq-xZbY`;#4s3-RMG5Va}_d5GXeVsDqeY1fajghnEJU zYy8Qi%S9}pVEtEl3x3kD2JeByN5)c@F5@h8StVl0of`zNQ05HIwDge#uj(>CftDKt zTPQP+LtIgF2Kpg|H(XM^DxTb6cuVDtC$fp!8v9Kp^h*VA8+4pa4$zX#jRfbr3Wqm( z)uwAR>0{Ni_H-NgJ)_oOr56q6t$r*Yc2VgM{3^~V`?0ju;RFtZA1M@G@Z~m@Z@M&U z4W@e0VE&IEOQ!O-I`~xvQyorW>L&`-qJBx0-j=z;B{i6)a>JyZDsKk6y417t^kRk2 zyb8|aZetxd5HKxLi0X-%CjKH@X9;z&Nyi!GL`v4y0|o1O3YkdJ zV}QKE7&FiJhz(p005liq`QZf~SU72W!~rw{0Gf+bezMmY5HI$K4Kxk_G?!BT>iP_g zL-N|Ug<{S;dVuf2)W9n45YQO zp#7a2S?op{Iym}cb-HA2n%*N_au-@cGVpUc;0l{^%Nf*d!L&5qdHxkRxk`?iF zt4SBgYD2-gTtiN-rWasIR)p7IOgb~G4h8G&8WO9CiW9MQhhJl6bYS4SQ$r;j4ZS|@ zE`!Rrod=3nJs|Zy)9U{@7S~Ko&kv4=DSJAJ@Z|q0mPb5d$y1?E`CK4St>z_XCerf>O%3!;cNr%%yghDG zdg@r~$Ab1r2Z;>Xw0eIq>iU#HW!Ko5oC;nY4BCGXmaZhL=>$oSbZW2HU1L&utTkXk zyG|j~FnB%ZmKs>>?BIAo z<)#5!l3=XbdH4n>9p*vIry6%U-#eNkPE$s)i_6 zOc;k=^UBRk3Ie7rHbRRh3_x#qt`EMa8ils*4iUi`BcR-g~Dcs#BfnjS|&+p?ceM@11#b zr@S9@tS`=I8=nMX=AL`*nfm6Nw;s~* zg$ZNjgD^kAq+G!Ck@cX?FFY74AN#opmJAM#Pc53ezK~$7d=_LUSeyfBmRHgYg_@I& zFFY74yM*}(BIN?6-IND9zA#~|>>lQ)nXC(#_Ea9|_`-y-vR9a&X0k3|T9J8h#}_7y zm3_ke6q9oS)5^?)y1o!$tn3$Lr+6|4&>Ud#-1UV9-TS~GJ3-?dKyxVJJKCt(w#}Bz z^s1u6LPNk5_<-u?+JoLNQEY3VlGAAs?S~wZW6vS>8Nh#(_IK2k7=lN~rX1GH4}`~R zFL@)V*p=N}_Jqt6%PD(uLt8EXa%Reys#4T}@&)bLnJ0QFzsK6#sDN&D zPQsQ_>Uo0mJnbj%R1i}1r}I;`98%>9)(f>K*SC;jcE2cL%Hed5pu8mWL%lR$k5d>i zMPC|QQiR$Ke3yG(jg}8n^%b!tkI%Y+?<&u$wZ`bf7`i&P7Miq{a3jR`SEstMtAEx=AXVJZ}pP z0bAe$synntU6EfB(}a&S$Vv#kXKfxJhdSUuh`SU+>w<;2Z^vv9p<3@w*wVzx6P))d zKde&hek4EL7Ms$H&JTp^lou+O>p{N3gMt1)(wJuToI(7M?@OOpH?@nw{&3QmOYEFM z{HXG+!8v{rhgUm;c!TfTG{4=sNb$YK3vahZO3udrwrDnD+5=Gb&fA`ZnhQnw8o??xlyK{ruc~8hbna zQ?u~4XsQ@ITVj`DB&iZp+WLfz8SRCflqaM;G^~$O{zS>`J(Tah2s|jzmziOvSz-ZeMNb8)wJpN;$wzjSE{lt=a+L^{WKJ2a%JtPiL*Dv!1XdFT`+sHhik=T5OPVk90^3K*$82HI%P>Cnv(r3+2g#=zZBBL2r9bntb4VBulI64A zh-+^x*8Q-qS+>;Z%GE9@BNMv0KO1%08^pUQU-URxKhPT;cMlC|h1LgDdn%9ScIjla z)Y~h_PZJpr@T^dH%r3RKoMtc2V;t<0wwmHr*vU96ug?Jbl|I4z2DvW?^|)VbNoxhC zaRc80$_w*^Zdk}#zil_Mw*XFpuMz#B$!2d(@oM-jTD z{PVQgRB3k9N0p{1z<*?lz#i|8N*X67Cx37s%kFd|aWj9IsIj>wyOHa-lr5}~*cGfN zWS;HqhM0~uSVEl`TT+bL4SXkOUUY{7jKqfCdPN$}rW0>e@4W;!O4TqeZ2-{--Q4q{;zURMH>8!Kc&tOtFb zj;59d+)t%ioR+Q+l>&wJ=SmiGA>1QA6TSOa#loTX(Of`o9G?V19``xWR`iUWbYKkn zCvwcW0W<;VpYr`tsg52)etnKPmtGTq{u$pN!Rdi0a~Rl=H0Dw}XAnQ<`y#9*#-M&a zY0M>d&LDn?ea*wi2q`^aL8tvlB!th1~Vee%tuHJ>>12J_q67q#Y{U%!(w=I|a|icc+8f2CD=}@I zGAW|c_RcZqu=@m{-zV@_>l5^webd%_a_0{2b^p} zMvpn|4C03(UkXH>9h+YdCyk|ujWdWJjeKj(JE+l)k0p(zh>bIdpNM>$yX4@21KX2H zV<}?e4C3{X@4|Xnlc94woivsrHqId45cy)+)L@qQxUP|7E=8UZfd2W&zjZ-&F84yx zSc=*>gZO3kZE8h-tHZ|zYn!suJJj?mNn=Qya0c;fo-cnJP@Oowp0?&uYj<$J>3P#A zvD8?_y_GcPF)L>fzvKJPYiiAyF}ydXt+~|79o+9L?@InykrhpMyL^zerl~z|Fn{Fv z*3`S}RFznhe4MoAF)ME{f9m;mw%6ig59T5utwcUcoAbD}KggHY&`ct|r;RMbg(r)+eX>%U8_6PZ%o;TinQa#%VNB`R^$DT*;F@S$X=wDvt z_|XgZ$+4HG{+50bRw@2KjzD_B?)%0sIF<{uP;i+BH8g$6ks*V*vlbk$<`4 z$5QK%9D6DLi~;I z?E)Q>HkTmJ{6T(P=$+LWzoX>%w7CR%<`41{J@2MU9Exn6V{SYtZO!A>?%+Np^v(j) zrPEW>))L&AJGf8tym3|C4OMiN)6>>GZtV{4GehsJscC!qthBWRcjgZ6b3$)d-{>gk zrmZEoGk0*GA9`mMMi0VVkhYfK&fLL$k>|a2E4DdpKf%m4t=++WnexV~p6ast zBH5QGjcI1j8N^oxzFB3_hWAxTV?J@_4B~47->jzS_JV7Z#(d(;8N}DKFP7tYj)vCG z?nb2>VoOLFx`A(v@}fmPZN1Uy2WIP=5~ehxas=fqfnRegzPcl4G`A*9`IN>Hl(z?d zI60Hcv>0x8q-^=5))lOG2A=4zZjbJ+q%og2a|ZD}fv>qlgg$j|Y+*`!fd{KDX(Xyr zaRcA|ftR~AXr2-M~br!ATy3d5USa#!aj(jjcucH~VB0T`XOnHYa1GND$$fh=L+f zFGeXgB#jf&8wRkyUmVU@Nz_<^uLlcPDtY-b0oGVEll4*OYhm z*4W+BRu-SnZEt(qBjxSx>q}cF;AVH|USYf&O{p9GTHhyawzY0<_~UAc89=`>@<-)X z@tlK&-+pOpEZuQicW@t&^2Uih<}<9I4oq7o;AVGlADr^en)8sfbpmeNoQI{nv*tWJ zZJmJIHs_J-jmP@AIa_P1iXBxA=H(PP7d|R&4#`vgsFY&@@5*9Fr6tDdTr`^6us?BmJ|Z_rK!Z3}`Yd%-6sBIvfsKl*a?H6AGy&+Z z3H*5zxxR^~XUs&`=9u&86#?j12mW|qx3ayKdJ$C;hTQeJfcy|134+`haNt?q%Jycy zLz<#sT3VBj$PeSwAkNJ`OKk-X71-zHqF`X(l4H(|pb0>KTj*b1Y_F1o83y$2X=@4c z%pKfoeeast#r8^}#wflsY0PDI&LFqMBe(zy$t$o;^Q?ra*)j1BM!^(+b-OFnzz23&@Y*ks!z` zAqVxe3floNiNBf)C=o=HAjs<>2iKKtd`#qT(1n8^km`=JB_40VRTH z5(L>8aMY2#+_XBz{=0eh{1BP~5#A3NYVankx^mm<82KOM0`g;cBna|R$btE>uH3dd zM*YXRfD%D834(kYa?l9E`-HO_7(UAdlnA0p5M+5Boza^GHD=Npnh(nD8Udqyms~)J zAesb0c4v+zyh66EsfF%g1wXy9qP=55wgHLpxW@#rFkneSgxxFTqwsiH2EC!vJd{YQ zpuH!6aagratHQ>F2)j>`uNg0SwTqQ~^AQsTvowgaUy{Y%bd09kKNm1j6hnd_2WgHb zEbvX!(Vuj{MqfX;1SF@)Vj_e(H00shZLDdc?>L%!z;P+KG^#|vhz>E2@YrzIs4bqo zffUz&OF;6XMMMa7bjYLhm}oePA5$7tB49*^n8$@|jcpA-C^j#slT!fnk>m3bC1QCr zh;tINv^8wKu&uRiK?lZ|{fqht!knB7;3)p-z4LZ|fd_F;jcF(zU2QOdR^=nY@;Gw* zrDzam_j+6{DmJzKfNuMvKj~i+VULu}mO}O@($3)Bn|RVKX%gqli!MjNh>DK z$PtwLhJI=SSeddJsrG5SfY*)u1K7Ext`pTz#6MIWwhj(V*=!xqwH*Aos)#wD`_2Zs zOBb(0qE~R#*KS>dK8dUC9D$zbxhu!6>m-)}{P$>o`?^=RKB2n zPJ80XealLFkl{zhn83Qg7Z!5%I}j~Xr6$^xj>koD7tDr`(DAuFh7qeyUqT6>wzYr z$~C3@Ajr-yqw|BVkF8r>4b>Wc8NN>xF3?gmH_{GI*^mp1bS?WxXF-hPhZ-IkNgeou{Pe)PO_AfY>x{HHpWOL_{4D29GZx?e zWtC&~b#~gEBoF*Sey;Ow#w)7qq=QxNd9fwQsocPKf%8(wa5{ItFt#N5lpFXic3!oe zjpi-S(#_DzE=gOHyn#EoFLT}<{5Y$rRrIsV6Q(4qb_C^>&W~1qEm?)4i(QqnB}qM3 zuwLUl+nRCDztM@Fb!}`(GAcLlt#)4Y%y34C-gJG!lw{P7puExf$%}`jjj)zl6I+sm z$_;$Ch!=fvk_H3LnB9Bt(3x&co0%9ZG&!N+DJH=H`rDO1-gsb6O3~}@hzyZfX3U&G zcBk@bY{H%yty|EE?h5nsZ@IpyqLNnj%=O!f?I5_Ti=r+TFmR;xPCOHfo z91jV)8TDUVCu=5D`@?>2#G&Bec+{cWaUT+u1!MLxKR3x?;NW<|p&JYbYW7J#H_2h( z;8^d_t+k>9!|`c9H_2h(;Mm~M^UX_n&@RvVxk(NK2gmacy%58g>I38B1wWTLvIPux zVX9T*0G5{p-(p6FeS0NJ^h#uj1o>WId(HXKdnIYCkuIDvzaCqXbjl5UZ#pl_g}(Qe zpPS?{aByriXnI)RTiz^lmoi0kfgcEWRbKMwZqckc>7|CdC9P>%&l}8p zc)srGbac8sV^bcd^#kGFo>%r{IlsYqMZ%UxnR$Y9U(b)x|mvI;cS!3kR)W#$RaLp?uT1L#wS zC5?F%0I|v++&_2jwWe30R*&#=lOhcq97j2HV}pzw)Zfv5Zj!^m!EvlZw;B%A*l~Vt zlEc8kae_m)wO7xXS*)q2Z_JtJ70{_q%mpNwV-f^8S#fydi6;G1lEyT-=M3W0#FtJD z=PksG&CIIUT$rHU&eL-dQG_%LqMYe*$THW4KyNxLZO-G@{vbcc^ES&}%Z|O7b93x@ z^d1BF&-eU!@oU-9X)j1x^SG5am@o2tv&xswOmD%tI1iA=?{gr;r5=OSoZ&~$xh!eT zBUj#FzQXf0l_u2mw#X~f<~(lg5Av%$Z>}{%jqY+y%9uy&d_jAi^W-y4(~dOpu8vJf zO63Q_8=O~G^_CPv|HiaANgVit{3hqEyF5SRd2_;+q}86_yw&-6{hVJca$CxnWc7SO zdx!H>UA&BL8(JHil9b91gm*bFIXN`7ik@|M!jxpxj-b5P`SIzYVMK?zFSaBJl^gih zIWO)M(m^rdJP;X@Y|aT}4>_N#BM2e-=EF&2lGJww@uSXHjbA#Wd@QykDU}=eo^W1z z%+iY$pG=sNjM@>D>z$t)t#qdPbYw`p^YgSkcZO+#f6N+BUf{6%GAKkZtL*Yu(&J%xJv8^|?6Vo}o6}NPu%;5s3xE z@)pKxOH_1iMA^W%Yv4ua6tV@fTWrba6F2bfp}dfZYd8(&$}g53dnS!(R?iv4E5w)X zETb#@SPZunTWUJ$=4FkvPc9;>S=CZ$A_Q9L)3{}`-Ok3uxnBuPR2&;AWJ0V1k~|nQ z)CP2rJ1`G0Q54}oh=Y?1H4*}Y@sK?GL=l7n5e`c+%x{rrwJ|IY&$CYu!B8N=5k3PQ z;Ltrxd*Hx$WS%`Yf=7V}N5>2pStbGo*D-nak`XcrL^#f8=&UJ{hT3f!WhWMGeSAKG zqxf6KwpRs2h;)+hG~$hDbP3h|OI-?4PEK1*1anDJHg;kHu&)w-5>Izt(!Z@u(wk_e zJS}au1<+d<2@3F^5fjX7Kwq<0gX!fyXO_Su3ZesPE<`&!W~#-jnC-O-)Ymz=hzTM& z9>h5>!D5@9ZV)*?$8PIQOcZ1AkLbIrM+0+ z*<#~hk$zJ?qEsABgE+S&Snw@q8wb7k)_g?iIF1H!Zcnhx(>)BQo+TiqBPk+;x--FJ zng`wZuC%pu0C5NRz0MnJB0E~;R04hXz8rIsJtP4AI?o@&6fYIwaVQbcdmqS0eS(8}u#^T}>*-uT=^z;if?V3lFZ9Drz36jI^XJ!WV}~N`ZC#eMdhGN%!Ppzj zS7=|louX4ex^ZRNnnUfmgZt`)x4F+2o#~piwKR8j)9rQIyRn%n%ih9^&Ay~DhgmyA z^bOh&Y->9b2WX0K&%&cG343vH2V~Y zaG%E@?P&vGI=Mf`oX77Gfc^o`pIX+Eqp}}N8uO@?Gl(Dde5rjcF?z-$Nn;+dat86^ z;@ik)=knW}c03VVn6iAQ+4h`(nlbPM<$7^!XeEjKTizZ+H)}tguraIoIsrk+Gx+og4UeRbFjoGNi79mB4NZQ<_mZf^rY# z*E;WqRwB+@_KYoQLgxm)y_FZ;M{3_fh{<9_!jxuIj-cFE`QZtSPTbr|g~t58GPa}% zog4V}S6=O%xQT4OoC{+79gr}k8I>a_4^n<;G5h2Wy7j@aB~9quz;~E<$?-^jn`!*; z*b?ytZs0poyxKc(6{#KHV9Wj>Ry55~d3NRwj`W#x_6JxH~!Z--~I#l{b>yJGZLmGp>_o2SFO4lJaoP=h zmn$!Pji#+$uESvvUJ+Z;e9jGgSBqDD8@~HgUNrxh5?_^(yEDbyup02^Ih2C?p3}d$YwIE`ea8lqq8m`x=edW&qGhX+|NyFS|}YA z99L?zIqSjM#8p9d4oh~jca6p`+(u5VF$}H^^K*!d3k0q9J)~F|2G@uAxlHT=rW>_~ zxycQ4=$arqhesShbF;>`Wm_P(1lc(>;sBc4JiHVO9qINUJCDW|YORO21N%-tH;;ti zkaACkrfVY$JKE~)H3N*ndy__H%Aa*@$0lI0#UJ{xzfV+O*Y>(=(Iw zV>)~e4}x6pXw>K=JjJ2mc|$J3s~Q_3W>C_`S!_Ax(sns%4jPoECJ}9JD6|r zoUyakv>Idu|1p?geF;F9zk&UXkBW26073T`pJ0nlCPDZb}D`hLi zDz0F?*Lh-Nl{<`#F=)LnWlOSpu3%jkd723TS|3Q+O0i~B!Nbn84sQY{v2|Vez@+Kk zkK~w{+bzz>&G`Bp(EUO??i_EQSFFXei)GWNmyP!ij#X6_$2KqDsoeYk^&&n;TGNsO zRXWg1?KODMV}fX=!@S&HgE#!8K-CWP3W4y|&sb7*c?H8D>GgJggS>#Uz<*y>z7sJ4 z@J2gc8ZBmrME;xYtyZPWC%^LYYVv!ly|pg#6Z;&pzun$ipJT5h`#bdXNf?*?p&j;( z?X8VDc8>q96s*2>eq94SbeyJQDenoi71#RkvY@!M92G5us2{e|<->Br<{E(@+&;j3 z#2DK;t_?KiW5(FV2{5MSKWnG+w6=6GZa@V4TtF(C`IDjnR7t9rcQ8{roMqZYKvk}6 z2CtcR>!3kkdP~*?31LL<(ZRzciI9fds{@}CNf)Y$dL{JkO^|evs!W^}1W6ajvg|{U zba5=p$_{*IBdvu;B(&^D5T!*`iiI4|(bi%6y5A+r=SYDL>Yz^&r7ESt6#0-2{z6X* zQg0xKbw*+DNaNdi!5U^u#?u_e>#g{TvTV>{?0 zMVU%f86=V@j_=?z!VIFi<17O?v4cK^l~ubJ$5hx!49Tk9he$sr!Jr4^G=|JdLr`o$PG`vMG-NhI&S1!#Gz1j`ma`aA z%xmX4jf^@6XFus?oP+)bn)5pFDo{Jo`CXRA7eUS^h@Ij63Ccn)?7*APtlI2QLp^e? zA-M>MtPy>L8OIj`k+q?ZFkRtN3_x6#<)fIdqsBzJyo263oaokeYP+$cwFXCJK2CL% z{oUqe=8%SBuIXs4^-WS{m~QH5t@BM%r!d`&X7EjNEQ9Hmj#gR$s916sgXz|e)<)kX zM=+Rf>mUbf%nn*CmZ`EVDkdE6=->kpJotVV2D(EN0Badg5dow*0JxI@l@UN%1Ax2b zfKUzuA3lm4L>-fWxkoS*Cd6cc?lniB1SX4hpE>v>Ffx1*YaJbX0H7lfOR&qQ@?;&h7^{~y(L9o3_HXK@vnGP}xu}2#~UZ*1q z>~wr#n?2CL_9h)vV536|+w3t0wzv6k0wW()*qR+*VBW~{A+;=jT~WNVg9`xfGJqP_ z2V~X!9s@W$y+0#k$XfV)22d0G3|S+8z<}xqAO{s__>ciJBY+%I0QiUjvm$^TPyqOt z0kb2398LiEgaLCRfE-K!_>2K`;INpiA-PzpHJ5kd=m8WRL%2HZ!2~qBcG7`^C>FMu zy0QlnSa%~UO{dzL&8K^G;&1_DyP>rQ4>;}#6A!@5l(o-ZF!AWiOj*mU=)}AaLYn@qQ->C|-X^vcya-26G*ojK0ThRK$>AiiU zV_S@l^lV-R3RMr^W(yZSG!Po`59+LIZm4M!T)sD=oz&SfXL@&U@5tt5RKgv`=g{0G zImC2kXG_H_4^T17M_kz1QdQ|8sw#cNRh>iQW5YX6A08SR+hT|Af$=^r(GEic-D9ZP zvhl&u{_O_)ddqMYPR&d>E*)dB!`RtBXxxp;(w>mLmP3wC9CCCif~A74qo6{N7c}ri z*vC2S;)%odEbSgiMcqJA#Xm2q?~0(Wa!|Cvf3|)%Qc&Zc7u9PT1pSnQqH9bTG^i!? zbJMJQbRn!^$O{`dBk+D*)S2c?9M`WW7xqvJEB<*=eOClMv8$oj-dR`I(B4k>tgq_o zXzOgCm(ZWq)mYPvJtSnF(bX`&rnZ^0&+Ni2$ee)ToG#o5SJAJW~ihGr(mI&NHPTI^eG3JW~ul)xpIL&NJm8I^eFSyh*w{RYpL+FrqE9Y@J zgbsPPaUPY!&>`=3&ZBY|I^^9!c~w*nLx;SzoJZv_bjZ8Ai*6_>mv2|uZOz6;bmn{5 zQlTx4aw2*!TPmG}^7-`gKDJa@i{!IqT~~2y+;hmmrjJ)uwswznFT=pf{x17=S*B$3 zGQ@wtc~oSmga<3!yzKkmFPj6e2c1`CDKA#fUKO+8_Knl^Iyx8^oQ>VPt_0_3UUhewZ z)G4oY{lU~JuXg?6)G4oZeSPYb*So$kb;=uEe>8Qk;~16r@|pU|DPsI@yt$S?*2%JxE*4>Og73EA& zpbTQ2s&V=vUmMTw1R2CS%|woTM7^Yw`p!DK&Mf;`_Iw|UuyJP7$#Kb=GXU!_htHQw zdRT;YnM+#+B|QwlI((P*CQ3ROfOYr>I{C8>bK)6;Ke|8w>+n6=NSwfd0oGwF+E<*w zfdSTm--GTxDNC^%=9f*c=xqMp_h`EGOxb17df$W{4?G9a*($!}rF|QGUwp*2x>(&+ zHoYo#%RX@182MBcXTztmgwNKIPbK>#ik8Khm++}XoJ5f_pKZy*4!cd$fXi$NuECwV684i`8sdAJy0H3~+OftTb`nLZ=z@Lvzj^ngv0VpglE+ zLM2gqX%tm}K}q3PL~78HY}3%-+DE&yHv@dR`)ZIVNuXA0lqg}8DA_+!Lj8z$_qm^t zm;*Eei?JAA&w&~zdMr@dji!}@G)UxFkSIDNQe=9L9nvJ}P|d=U94PK16?3WY9;SUn zUgqQ%IumZr zQDRQl3@pZCd_8AqoanJY)1^?dGc`!$Sdb_>J5pr2j$K|*{hXs&Sds%Jy6+t7zUOKm zk(W971vyV6MPr5(krzZFU3VjW7itF9m)Ct++C>^J(i|Vn=9dQXs#D$fGR=aZNzmmQ zM4^(XD>RB~z@Vh`S4C>jqi|V{yD#=&CS9%F*_#1=EnlNSq9lR3R-;4-qeRK-NC}<2 zxRoG@xn47{7>n`s+@Nuy#{#8fvq5&F28kRC5=A#filpnzRn>E|CSgSxCee9kQ|G-! zyNEdJa1rMCsK)LN;>}ET-Fq|(f+j)t zY7m7=qVCfussMwM(yxoupf}-yF?ZdWko$mkXKx1hmHeOviIN2BA&n9xj1nb}L`tY5 zx%DoIc~mp77>n`sJf?A?#{#8fv<>^X28kRC5=Bo&ilpb@Vs}fqXJ;v&X@E#^2|%28ogcYK2CL5=M!VeIq5jliuEL zPk>0xN=?CP0uY^r?59DZCPVqU_t!{Klp#gcfw3wK8n@CWQ3q)j5oIubw1YLy=<>*@ zgB_wlMv?)EqQfFZ(u3`sLW&O8B&^8Ca8LcY28kSt@^v4ffg;HQMbc4`B-iCh&e58H z<*;1_d8ONIfh?ep(P+`-=%|X055mn!ji3`W3t}3O2tH98DTHS|Nn5GBp7oR{I$ynN zug7g)JZsT0=u}O?3>ioitkM8c5Fk#|2vHFr&WMz_dLj{L2llzCdOAmkfl>n!mFH?B zsr0PpX)7u8tQSPlMSfkgsi(P+c%i0Xh72SMF46!|5Fjqr2vHFrE{&A9dLj{51on#L z#`={y4y2}0S7{XKwJ<5p)!IyAee<=k5|jlW9M3ve1#OF5r&)v~gZV>uwMH5_8B`=) zuOUWK0J$-iMH9eawsp^{)@UM86`<%)eUnBRMHVK4Zq^7R$Vc27>v1j0T5i)EqD3J{ z$J&r1xdDG?$dg3frBPfaZ=?QhZRP@b=6ho$QvcaV;pzH5%|b=0C}uFf(eKwtBPWAO z9k0_6BPoD97|Y^TXv3ZTJkPPJhcuC>N}wLrD5J>2M9?D|VFdYz$6`G}lRmCFL`#5p zD&$CRVy_Q*lBlOOip!LQJ)>bI=59!%bDji0~HG_1o0dFd5to160jFE z%*bJwG{Z}=9J)^I);krX=w(eJiY&?(^@;`>Q5LB>(W@F}L|K^VdOg-fy#rTv?EWhY zdqWe6Fb6Zs4Rvux)*EkXCnGZR^2_sb`5PNF{2C=Qo|eXLZ6E%$@wQqTMu!D6%MD)b1K+L|LTi*L0lTL&Jn`j-qLOU6enU`OleKgbv&7h)mWvoM4R{W{XO zzs4JVijuFIg+Y_s-Un+U3Ytd<6eU&QnPJf6p7>dshyo{3XKNIPOTy04Fs=%3hyA=*5xQA+aHWl_^EHFWVTfPl z7ig4`lYm{QVMY$aq*X4C<J2`t2EGvvq&@KXwh)Bh8bZNCc3VTb*WxQny%9vqRAnsqE>5w z(c%!i!n)B!sg)blYLlnRYcx->)q+IlP1=YczV&8pMLB)ztxD_=fc|!DFz?}rW zuR&DAB-K93Z3 zv{b1cLGqSQRVQpL&&O2Kg@au*NQ7CGUxHmVP*ho}(8GXMgc%I#9cbM90gucSsO8eWIpm5ZyaX zJ4_oXhG#uoTdC-t^@u1sb#UC|ksUbctl&t^!4wW9)pwLeh=veyw1$X~5OQp!##I*S zI3chn7yl>fI1uYC{ZGe|}Sx=3ki+;DrpoQlu&A}87C3SV0Mu>(Ga=M0y zkPvcaq{h`1={P5_CvWFCSI2?aB@xnh9#~Xthi&Bf^N_tDvWpI#EsfYCGo5`MJi0CyJIvR-)`0<%%NcZ zbbX74iW-HL+Pzg{L{o&hJyJ#uFj!}o4kYUi&BL;M3|I784H7{XC2H=}08!%u?vA9m zwj>$%Xabhu>@4B_fFOAzz`B6NyO{rgHc}xx>x0@#CGe~dN6|a73paH6{SocW&SaOm zc~sjZt}{NSjS|)wpNOKmN};Ih1AFqK|I<1Gq$NSmXb@@iF7I#9Rubu1pNmv4f97V@nJ-CXV-AY6fUeOFJApp^7>8lzf8Zwj! zc}*ikNPu`FQsY{TguJO4SctQehIaygd&_`dTN?wGH0oWAqVian6y`l`ro#B<4`L-a z|1t*&zV7~^CJ=u@_)-bEQh>ie88#JG5lo67_ zM9uQwQKu6Hs9)iiGf+cs~s?A_ygwy1%v>4bFOCECD;i+4V5eaF8Yt4GKcb z;)6B92w;dPI7FL`0%bldmf#wU4?Tx#0#V=)e0~s8BsZ&$3Tcw4qcw^PM2#?de8kDIB<$>adQQ_v8j1mhIF=uIn5#uAy ziS@W1#e47PY7WuD2-Aw?;f1mbL&oH0(?ua`5_PdgaS6RWsY|q(i|3gyiomgX@e$X@dQ|gM_1vI2M2kX@j+;V`MrBOx(!=zr&a-jyl<}#^b$-w}rgs)1*PKYY<9g zQBs;Wv=ycCt#3st(4A?uZHF=)hu_u|%#eZndHWp=6eSr_)NIrUQ4=8EixkoI`Q|np z+0Al>B)zYBSW<|hzWIShi5>?NK_6;}2nrz|=PA;HKG8f{kOh&RPc_KXvyE9ff2I+h z9E(`~yYhIE>o=}rQO)ea_Uy?bG`F*phTQ}I5c@}ps2|k&iVn~;tSA7{7UqE(Bx*90 zs5wX@L`{G=BvRvAk*elU&A>vOoizMB@K2t091)NtK}Tv3mBu^wI7(ZoB%bw{NCj7V z3qJa0=NCFPIaZS}hl2SneVm4h8ikeGJzir(Q-nD&Qbr9>-(;p3QgxE1VO1W4HZV`t z5K&_=qU0297bTv3RiwhTB1t$+`?CaRr}$?E{>hd7Spi8BbhZXjNxbF#Ioe9)@T})W zDx|s>w9_l+`3#g+HRo#*=1?%dl`qgxQKPU@w-;)RXo@fwN6NVR?RhAvx1`q{6i#Nw`w`vjk_S_}2vf$>sdD0g1O-zfK#e1fF%Zwvv9& zdP5Xlsu^S0t^;XVb)zO>4h55XTB9MNBEsCHF`^~H+!85r^+i%{5A4Zx@f|u4^m@zQ zwc1EZJ?ov?N*X=u-BENY07iqYFFFk0qe+-U!KA+K)eun;VeZoy(Gp?SMT%T~k(7r5 zd-8(l!#WW3CP9yA5GhWg9@Qw)>|H&5JW?ZDORZ)qp`+Uqnt=%{#-B%?)HuM zBFO@!EuM~4(d%)t+e=8)Gn#`%8HlfGgNBJFkBZMxG)CkYjHr1&QX_i}b`O>0yr4N) zj*sD8&KEUE#8{NC`Xvn%MHVQMUWp{RPDXNG)dVcZ*;&eK8X!^}f-2?BAVTt*?pvA# zVUnP?HHbnbQSWFJ71_H0{BER1I*ff|CLNyN(+o^tF@EK~uW_O$4g5d@MUn+dD|{5G z;ukX5yP!za$C`sh8Hlgx6Acqh9u-~K`cz{?j=_kU&m%R`XY3;aBxm`T)b$sZ<72pQ z?4m&;#-e=HyK0~)vOtlvI|H`Hr}_9cF|?`cWF%(~O~7)Tou%xl0V2gAs8Uu05t7%e z_t7i}lLYOnK@`fnI=xa`si>ZH|40Q63v`9luE=O%ae$^^h79Ca>wy|5N;0I>>p>bJ zY68R|ks@jtb;Ca?I#koJA_p?N;QH~tN_w5ZVcJK;WlkdQaE%ag0pf^AoNH9lb);rs zU2M;jbWA{(y!?J_!0BCIKTaE|P@eU8ZKVQv))S-X+!XFimb&Rlnt~ZJkW|{q8XyV+ z#3>pfDgwl+NQtXf5^+Xgw^yV6-gl;s1F04zI?vKp(&?Me)@Bmvo6n6Ea2fO|DqnCu zPxAIy%dLGDIX#HnU>SydhRXt4Q#eQ!Kt__N*omB^KpR z&(CR~5o3{3&ChFu(c>dtj3v<#x~4w6`H0Q{UeY`wDL~Qiep#c89t#sauV{qP<0D>+ z^{D0~Ew5`1(V`HfR2O4O^SU#!X4>iK*@ev=#lB5N)TajpI;uFmyk^&S}^rsqS^jMha`Aj2> z9v`v%%l=gr6kataY1xGl?z)PPARW6Kj(Rz_s83$e+ry`^Akn#}HlifHbuVp2DSYdS zC^{yoO10vri$nWp3TDVaqF`SQ5Cs8ZrACN~0I`3h#8oAUI4H0uS1t$ZFi`3(Jr2=E zQt4R_)mBpISr3n*vwXKYrl$P4reKB)B=vNJ28eCzmP5 z>o8F2tus#0MpEfnPt;aY=vhyWqO*LxFs2p3DVl^i6in*sR1Fao5oVRfh?WR*dZftJ z7fCrQuqW3hXX`-F>n$$M(MD40SuD(dh<$*o9)VM+if?jVWaiunrQqOvowvtBAdQB8v3ZRz2bez3blQ4&Z zNqt?XA)+F}tkxLO5@BwL6uJ5$DK`c75Ht=dW&J?rgJbSZ#Z z_tIhN4o$)w3MTcnRzpNZgt=2=L`#IZJ5uE8i=^Ba*pr)F_v=8=>+NE#(?(M2Ss&0= z(&$+qilUld%Au0mIOOX;+PbA{i zz;1VP{L_)wbR0;vDAD=4wvtZY{DwA@NZmwbERC^1jkF}Ncdgf2GnU#9x&te5q4t0Fw-J;JmkBCq} zsk-IAr!E>8DG_KF4Kjiv&~6Ocy49}PQDjwhv(5kGoP=`EYve!7%PxsxF_a$x8)*D zBN`k|s_kM8F+xJjB^qPYgqX`>NvhgtrFNx3G|0{cK60G67x^k3s@Q5lqV;NRgjU~r zjkZFiZ@n&xjwiM3+s$OoLE9(u~Dv_0SO%&Nx3;WAD>XM)bHHcJtgXtk{C6%7_kw^uKVDBxUZvCisXKx1h>&3@3NE9$iYVmPx z6$Q%rWTXJkD67X3NW@c`fkpV3N&>CdAQ53vqT^`|5FI{XLnOsD8AW(j6R-?tX9+JD zf<~DG8k2Lti$045iN=?-5ry!rFKa7G;9FmfqHl{gvMlJd@0g;|_?l*50*jIOuWP%+ z_W^HcfJpEGZ$&CxC6R)Sfjv1NysM)?rZ=YF(?-(hS>M-I66je!jG~kJrfd@*0zc9O z>~A1aLmz9iMEC5UXuHJs?4LynT>a3zu*+9u7v1)ut1{|u?!(l;q3exq4~p{xissgq z!28=)p`%ohznx#= z`#pb5ovoc6q3pmLAfx)Ru)y?+;m|v(FkmwI8-j8t2%@rU|5w<*-4s7=uE^Q7*89F| zLsvud^zo|7=Dr>J2K*kgmDhj19d-bG$(tIHJ`SAuqc1K0ed;Go+|#^bL4SK&U70kB zB*-p6=?*KbZ(VEWruh<3d=Iw1&0TGq=1V~FJ=*%VF4#n00*db`))!@IZJNJnq1zg$ z?S$YQOMrUoXlU6q0;*$iztp-ftnb(~UjmBnwd`w0F6yIXC#L$!dhpHcYDRAC>2*NQ z@h&eW>M@Inzw6q{w!#JUnKd=E40}+=NFo7xjzKd0p$UrZ3p(5C8mLRQjgGaC^w>Tv zJr4BRw0U7_p-`Aom^A74i^b8g-u}U{;?lChq^}l>HEp=vvZ=P%)zH@7v|xVO%<@^~ z5KuBNr2Se)*>kjn~GJi52@&x^%Q zlb`2G3dXm{@&Airv3bG#t?B==fuX_e_*Y@l-xQ1O9rYkC);H8Ox0e+r|7{Tm!KT{z z?S)BO*gsKeqrl85wqT95Wkuzj!lW^zD`rVXRpsni$QnmhRV8Ol|5^D?vzN#Z#P0yh ztZKGorPC0DTmtCM5~pU{vWiNy$B#`Iq$vt={@p}DPGv>)?CLpHv#OE(6B7d2F2vl* z%Brf_mE~2l=FF^~J$vSya=NqmKd2&67Gjt=lfFbw8B`eL%$hl~3VFM59+d`p)s=Ir z=gyj4Id|rGVbZQ_q0(@utWhfFR9DZMU0q#1yJ~j%+?g{gX7TREUX#FG#PPe`tG>Nm zc2J8eoF!eE`#8WR*6DuELyFB+yT8jdWj8f^fHRm1&=q~4vu2ebbze6^3o zQFZ2%GMlLw-2f+N#zgC!k{Pp#Dcv}yNnM(H2|N4g&T5o+W8n;EPPNmS&YY>4sF^OL z0ye@boR%Vk+UG@AUHZLuT9G1vhHVizTN;~;rpkeX>Hn`+h6WapiM0rY^| zGCYXX)ST$lj9<%UQoK=o@YA8|fS^Jb`rU zzyK2!-a%r!Zmg>62DZnls$=m0G73iG+P^In=7IHekq2BQU5#P?JTS0aNSnBaVFW34;MhXi# z(cRa*xL;Oqh3);Eq4BZ8azBgw=;zOwcFo@v3LoGvs^BFs4T*6xHeUVr_y^u>93CI* zL9$TzpZI@r;p#04h4uK0Z0&u6y>&~w#Z?M*%$8CpZ7y~UQ3b)FP(Y=DWcL|@Ivc5Z z-6Orl#_sWfvEsb$!QO#Bp+n^XIIp}=xCeiofd9GlRIp2JqF<^L%?s$)D+`5Z@fTGm zwkwJagWZb<`nDbG9%!pWmJPiTFzPrKwy?W@j9a^{ue*0=2L2U(PcD45x={GC0=D;! z%>yqZqz`^`W})y^g^-BSg3^HqJdN4PW)%vTOmUl@;TB#Wa{l3=-#=wY!rXmZhOSs zLg9R*Yyj*J+L0yx^S)asP`eO-&uXo?8yS-e8)2S`LhpjqcE#~IZGAoU-DBN_qamo#jj_d>wy( zqS9n1eexsrLsgpWG@i8mE|n(xCn`<+e>B;^F(}BsnM#v=wMvtHjY^Zf@^|rzf5d-| zN|SxDN|XOml_vYuDoys)DoyrVRhsN;RhsNmzl2}>Bk{kg(q!LErOE#)g(P4;V5n*8rkX|f;u`|ONg;=fL%$-eTdzWo@L zCi^ogOaABg`` zq>qK2+JRb|>JxQYMj@#_zcdAZm><&L8KjR!`Y%-ca{~LPLAn9$W`Bt9&>;O7(ssTe zeQzQCmzW<&7wP+*-$DN{ly+1odAIJf;5%cKbu|nvp(zY9Z@CZ7Mwp z9*ZdbW!UjU>3(ZRn)=(IN|Sv@r0Hih{-=09MVgKWy(YaI(l;WFYG(b17(9~P|85M@ zRDL@j5+9ZSSXKTvfw%Jw*}sgwYUdY9Q$1}~D3oo1f2sZc#Pnqw?|)2?CjIt!MSPor zx5uwd#Sc(r;D_+kUT34drknKNLm#ETi8RedGm&1c(i7?VueMWt+2b>n=O3Z>D{8#` zqI$Lo+NT$3IxV0sPyC^MCG6iXVZRvm@0tEb{*MRzVfu~0PUX7~WB8xJLH?nAa(3*N z{!I8S@IU);H;?RC-eOXC|c1q={{Ygxsyy$SwDtcJRLoLkpJ~#zzC!*^7^r?oVyb>p z<+bZY!jHp$E}W@<(fn$UWk~Y;MD<7O$L|~dknb20W`1aXCHyK?U*`n&Fnw)cpD6uM zfPV?;E|j096e>?j|K-p_Ze-{61LpsK<@A&YAJ*^h;n+5;_{07dmM2VydZ&ZWt~p50 zydb?aNYh$V<4+ILw+87KgY=itu-bomke(N$mj>y*gY@Y^`qm)*Vvzna)}~(kAU!Wg zFAdUr2kFyI+P3$tNZTLV|5mI17q;h9z>lc$^_fZA@%NXQyLV9IDQwR$ZP!+$f#SEA zx!Fn*X0``?b>qq*G159{yfAYNGB|8D89eCI*`BGtb4 z2kG~N^#8=#LgW82NcRNky-eEH-&si8A8P+KNZ*Q#@8N$M-**T07m=p@1UgSj+24n~ z40d~dF!c{CJJ#is-}-#tAbqS!Q~&+Okdb3DUO*>Bn>${I4N>J-BGT{3Gyf zMEW)(fzp%zP}0@L{!No62-z!;enjExk^UW1Ua~Jl`dg-alpaKSE2Qa%(mzA`A60s9 zq?=UwV5Hk^8uhyhY1+PIf21!)`iC|RzB_{S`XK#&kp3D@ezgC$g7o)-^nxJ0U6B4+ zkUk_xpBtoa3DO&a^k+f(Pru>ooe`w#g7mgQdMHTm5u{H*`d@5)qJA$#`bRd6`n^3! zKOdw&57L|ck?&s>q+5dY0MbPjZ^t0LPmn$W={|*D6{N33x?kCE57JK}{cqNe^1g`l zs7<51?*{2FVFP4GW&cy8e~L8D!MHz9NBT&l%aHzS_|HQ63Z!ZNpmZ(L*QoS-q_0!y zA0d6cN-sm2&L`=I{C^sxR|e^$gY;QJ`l=wkHb_4aq+bitv;m~`{2@-EnX*xk38cAbnSmelkeE9;81D(tq$Le)<0@NPj0t|6`Dz zkMvEZeQ7@24(U&k{!_F!rT-1-KS$?jGwGiqy$tCNN~6E-XVOSA{v@Ptg8dJ`LH4td zzTfQ0Q2Gj!Mll$FJJK&G`$Hz(X#8J5dP}VFssECm&yoI)O@nVU2(3`*3Z$!$KA-Tg z*CV|FXBocgdq`hz@We+KNbW?MeyF_PG-+G@Es?%k*_)BR7wKw) zUyStEaE?dID)Jvi`Y$17iAnzl(kqZIGwFkoru{xlGmJkK=_in;^#u8!Oa55nmz(sp zNVgzu`ENn`hsypC()%IZZ1Ark{T9+z{|89FgET@g|5q?^eTua04}XI6pJPqG%Gm!G z()S{LgGrYo{Q%a`vrPIQkp6v$p=AZtUjz9gP3sxbKOgCtNZWXwNNZ$RY)UNmcAHi&}ZqZkw)w+eG}3s zdzQWvY5bR^pFjd-$z~2b z_+~5~9WBh@K=t2Uv}pUj{()lm$g<*achB~V7R?{uVQ6e~?);G2;T2wKk zaz^>0MfH6<^!N0^)`{2KQF^3*hrW?Ti@JMzpcHIAndQ<{!eodHkDiBJH1%xV~O2j&*N0y7RJW)5b^pda0w@3;FI(d(pmshPgcefAFmU{WC_# z(BbOGmkk%(Utd&xjP5-81vI{}$N<#0ReVt@$NHD`jeG$*70(wkbjG_!s3-lhH9anw<63l(-+_1V*Zx9VcI$x?A$|BMrCka6qjOncP}msWPkO~DE5yQd;7P;{SUrFaky`!r*CkKm~dbAjJlzL zfxe!xY14{AXzkOcmGe(U)u!Rf>oyTro(Wpkx2$IvHz%PCR%37f4*jG3LxZZExWi+( zdweGa>K@##Z{Rzov4Q;7x4tC@GE+0l`bTv|34Hs$k-@%!sMI54sEd)EZE4G$I&nkC z-_(kl9aKO_T{(e$?gaLl3G875gz@Vq@UJe|F3>-SDY@7~w_w?ZF?Eh<)b&6dv(OP$ z!;JQCH`qO(GQ?}~5bnhyq;o}z#$Z&pCSNy)*GW;Po%+#PrEgMedFIePtn$!aJ%N8% z<)MFA<)OWyG=D|K1om+31^g8=C-4uuWauAuxzJua0e{$~LjT6n{*@DyzjA`|S58p= z$_d)1a)S1$nxKDGP0+uppx?|%+4$)m9;N}}b=#m_H>Vp^^FW;YQu5Kq_T>ZZm?8tNTs>-Ti-H?V$aa< z&MNui{zC0||3Gie*w{$_;&I&gIBiuhgm@0vC3XYC!^)NNBQ$=OKGYo4~Cy|t#UVOraQ)~0CUv0OrDEn-Pu92~-l;dVP9rwdDl zp^?$-h_PeOyo z8%z=BHi$4XRzy$c1*M-VcGJ-4E>?uusK7Xr4{K3&4;Z$ObBBkcyuO`!`i94_a2kzF zOJX###zwH>4YlEfv2QR|M*}^=Vy)SOr6X>MPnyPd9!?sT4Gq$%>CP#q!Ev1a^!KDp zbVd{9qwi4bMfoEbUU4S($)GW0-ixsG^x{yIixDs)t*T9SLU7DBr`Y2+RF&EE zb!Lz;m536TA@hmKky+xh@G@BF`A@6``$m`Al1kR-QVkgB*Qw#5(XkM3Mm5HWp#)~2 zKjXwo&M@Gw;sE9k8cwvpqu>KsSLPi0#W(f)_w@5=cy@>X{FbKd@Aj^`?C(|#*7mL} ziBqkPevB-8pFF)HTHiNcQ(vc({3ZZ*SAAX0{BmtZ8ryBo-hjthJOE{Qh^<>|YPAPG z3sc|FsB@Ys@s%gViL}Q+-+5E!*7}wjFWv4v2Y@%n_~wNzUi{XDZ3{cKe_MxF0r&?z~V>=ENNBXwI^j*X`@z4kz%`g&pF6bM@rt6N=D#fl1I}Kx=a|UzzPMYzo z1m@YS7(Js=4xQTBvptl!e{j1jNvD0qCH;6>$O1UWa!O6&tR4F-yidpV$fMKLGOg^e zcMN~Q;($3kGSoxI$uNIxDfYN~l?Cfno&ez6M7xO7M*EiaFU7RM3hg39Wfn(wq-jX! zAkGLKVU~;!_Rt|wJ+`CwYTXX5*{P)ryH87h2fMV14bN;Np8n7qg4xEW{ljj1rZ;&c zw~1CF@rJ(X>(*H0-7w1Zw)HtfHpkq~Pqx!<_F849m1pHP?rvC8($h@Srqy z=Kf_6ls#!958q@-G<&Zz@p-}^LqGq7<*WQ{(mS;x@Oz<0}VQDmThaQm`j!)%2Yhf!)ro2oS1AIR((9^VRkhFCvt*+QTt z{Uf7eTV)G$x~O{2OkDRN5ojXp^T4)X@&ChmUQFFPksLl=Z{;0a zkxNC`YIJV$A<7Y+~^kLgBY>BTkOy`*w;5Q`jtZ6cNc9#7n63Fxd`=4 z|JT(ms_h?KG-JjhwACW6&&7*+io-JoHWj?&$Hn2%O@}Z2MYwUv>ldKjVaYJH7wR5z znrO$2deSn~+$f%9qd_)f2-m2;sJ>=9-H zHJj%WB%y8YT>KuzcFh=$YJ32rX~Gn*2Y+riI9|5p(2PY<<@fgCN#I_LXU{@?AuKQ# zF)Lx&miQ`ms^-eJY?`r%E@Kos_-bMilTuT36^O=mT}yjY`=XgMX5p%^{7n}!7Bvm_ z42+|)HrdNu`7Po1i(NxbMc!0~Ohi3iPtaAEB*=#kl63ta#L?snb zg+t4h6c=N;hB-TEg`SZmWjsoHa1f#k+rKe$0~Z!`GvU-w+1K-HGBx~??fZqz47Tc{ ziGd8iofm>@do@%qv{jO_^6JX3qUJnOA!FJk)b z1oJDNV5Awoh$+S}@g!5==N*1yCK)O1rpz%Fy}vFSy}xV=e_?Y>R{7Bs!DM_E2@6SCRMx_dNgczp372=D+9ZV{qKN%1uH2>&}cgtyP*33a}+3E4}H0qQ2< ze|o=#{h5Q8JsgAgX5cj!%jvxioBBgM^uKw126NhXI}ium%SS)pWt@2}N!fJ7t7nV+ zvN(Dz1jQ#D>}3PM^(sO3PrR~*`S1__5N-|LL1KSM6a9P(|J%PQU5*z+>}16%+YI$L z;ZDcPy*~H{`b&N+2W}7Z_)h48Uc5iW{#aaNfIEGAys Heap Configuration +; Heap Size (in Bytes) <0x0-0xFFFFFFFF:8> +; + + IF :LNOT: :DEF: Heap_Size +Heap_Size EQU 0x00000000 + ENDIF + + AREA HEAP, NOINIT, READWRITE, ALIGN=3 +__heap_base +Heap_Mem SPACE Heap_Size +__heap_limit + + + PRESERVE8 + THUMB + + +; Vector Table Mapped to Address 0 at Reset + AREA RESET, DATA, READONLY + EXPORT __Vectors + +__Vectors DCD __initial_sp ; Top of Stack + DCD Reset_Handler ; Reset Handler + DCD NMI_Handler ; NMI Handler + DCD HardFault_Handler ; Hard Fault Handler + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD SVC_Handler ; SVCall Handler + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD PendSV_Handler ; PendSV Handler + DCD SysTick_Handler ; SysTick Handler + + ; External Interrupts + ; maximum of 32 External Interrupts are possible + DCD BOD_IRQHandler + DCD WDT_IRQHandler + DCD EINT0_IRQHandler + DCD EINT1_IRQHandler + DCD GPAB_IRQHandler + DCD GPCDEF_IRQHandler + DCD PWMA_IRQHandler + DCD PWMB_IRQHandler + DCD TMR0_IRQHandler + DCD TMR1_IRQHandler + DCD TMR2_IRQHandler + DCD TMR3_IRQHandler + DCD UART02_IRQHandler + DCD UART1_IRQHandler + DCD SPI0_IRQHandler + DCD SPI1_IRQHandler + DCD SPI2_IRQHandler + DCD SPI3_IRQHandler + DCD I2C0_IRQHandler + DCD I2C1_IRQHandler + DCD CAN0_IRQHandler + DCD CAN1_IRQHandler + DCD SC012_IRQHandler + DCD USBD_IRQHandler + DCD PS2_IRQHandler + DCD ACMP_IRQHandler + DCD PDMA_IRQHandler + DCD I2S_IRQHandler + DCD PWRWU_IRQHandler + DCD ADC_IRQHandler + DCD Default_Handler + DCD RTC_IRQHandler + + + + + + + + AREA |.text|, CODE, READONLY + + + +; Reset Handler + + ENTRY + +Reset_Handler PROC + EXPORT Reset_Handler [WEAK] + IMPORT SystemInit + IMPORT __main + + LDR R0, =0x50000100 + ; Unlock Register + LDR R1, =0x59 + STR R1, [R0] + LDR R1, =0x16 + STR R1, [R0] + LDR R1, =0x88 + STR R1, [R0] + + ; Init POR + LDR R2, =0x50000024 + LDR R1, =0x00005AA5 + STR R1, [R2] + + ; Lock register + MOVS R1, #0 + STR R1, [R0] + + LDR R0, =SystemInit + BLX R0 + LDR R0, =__main + BX R0 + ENDP + + +; Dummy Exception Handlers (infinite loops which can be modified) + +NMI_Handler PROC + EXPORT NMI_Handler [WEAK] + B . + ENDP +HardFault_Handler\ + PROC + EXPORT HardFault_Handler [WEAK] + B . + ENDP +SVC_Handler PROC + EXPORT SVC_Handler [WEAK] + B . + ENDP +PendSV_Handler PROC + EXPORT PendSV_Handler [WEAK] + B . + ENDP +SysTick_Handler PROC + EXPORT SysTick_Handler [WEAK] + B . + ENDP + +Default_Handler PROC + + EXPORT BOD_IRQHandler [WEAK] + EXPORT WDT_IRQHandler [WEAK] + EXPORT EINT0_IRQHandler [WEAK] + EXPORT EINT1_IRQHandler [WEAK] + EXPORT GPAB_IRQHandler [WEAK] + EXPORT GPCDEF_IRQHandler [WEAK] + EXPORT PWMA_IRQHandler [WEAK] + EXPORT PWMB_IRQHandler [WEAK] + EXPORT TMR0_IRQHandler [WEAK] + EXPORT TMR1_IRQHandler [WEAK] + EXPORT TMR2_IRQHandler [WEAK] + EXPORT TMR3_IRQHandler [WEAK] + EXPORT UART02_IRQHandler [WEAK] + EXPORT UART1_IRQHandler [WEAK] + EXPORT SPI0_IRQHandler [WEAK] + EXPORT SPI1_IRQHandler [WEAK] + EXPORT SPI2_IRQHandler [WEAK] + EXPORT SPI3_IRQHandler [WEAK] + EXPORT I2C0_IRQHandler [WEAK] + EXPORT I2C1_IRQHandler [WEAK] + EXPORT CAN0_IRQHandler [WEAK] + EXPORT CAN1_IRQHandler [WEAK] + EXPORT SC012_IRQHandler [WEAK] + EXPORT USBD_IRQHandler [WEAK] + EXPORT PS2_IRQHandler [WEAK] + EXPORT ACMP_IRQHandler [WEAK] + EXPORT PDMA_IRQHandler [WEAK] + EXPORT I2S_IRQHandler [WEAK] + EXPORT PWRWU_IRQHandler [WEAK] + EXPORT ADC_IRQHandler [WEAK] + EXPORT RTC_IRQHandler [WEAK] + +BOD_IRQHandler +WDT_IRQHandler +EINT0_IRQHandler +EINT1_IRQHandler +GPAB_IRQHandler +GPCDEF_IRQHandler +PWMA_IRQHandler +PWMB_IRQHandler +TMR0_IRQHandler +TMR1_IRQHandler +TMR2_IRQHandler +TMR3_IRQHandler +UART02_IRQHandler +UART1_IRQHandler +SPI0_IRQHandler +SPI1_IRQHandler +SPI2_IRQHandler +SPI3_IRQHandler +I2C0_IRQHandler +I2C1_IRQHandler +CAN0_IRQHandler +CAN1_IRQHandler +SC012_IRQHandler +USBD_IRQHandler +PS2_IRQHandler +ACMP_IRQHandler +PDMA_IRQHandler +I2S_IRQHandler +PWRWU_IRQHandler +ADC_IRQHandler +RTC_IRQHandler + B . + ENDP + + + ALIGN + + +; User Initial Stack & Heap + + IF :DEF:__MICROLIB + + EXPORT __initial_sp + EXPORT __heap_base + EXPORT __heap_limit + + ELSE + + IMPORT __use_two_region_memory + EXPORT __user_initial_stackheap +__user_initial_stackheap + + LDR R0, = Heap_Mem + LDR R1, = (Stack_Mem + Stack_Size) + LDR R2, = (Heap_Mem + Heap_Size) + LDR R3, = Stack_Mem + BX LR + + ALIGN + + ENDIF + + END diff --git a/485/nuc120/RTE/Device/NUC120RD3AN/system_NUC100Series.c b/485/nuc120/RTE/Device/NUC120RD3AN/system_NUC100Series.c new file mode 100644 index 0000000..63b4f02 --- /dev/null +++ b/485/nuc120/RTE/Device/NUC120RD3AN/system_NUC100Series.c @@ -0,0 +1,74 @@ +/**************************************************************************//** + * @file system_NUC100Series.c + * @version V3.0 + * $Revision: 1 $ + * $Date: 14/12/08 11:47a $ + * @brief NUC100 Series CMSIS System File + * + * @note + * Copyright (C) 2014 Nuvoton Technology Corp. All rights reserved. + * + ******************************************************************************/ +#include +#include "NUC100Series.h" + + +/*---------------------------------------------------------------------------- + Clock Variable definitions + *----------------------------------------------------------------------------*/ +uint32_t SystemCoreClock = __HSI; /*!< System Clock Frequency (Core Clock) */ +uint32_t CyclesPerUs = (__HSI / 1000000); /* Cycles per micro second */ +uint32_t PllClock = __HSI; /*!< PLL Output Clock Frequency */ +uint32_t gau32ClkSrcTbl[] = {__HXT, __LXT, __HSI, __LIRC, NULL, NULL, NULL, __HIRC}; + + +/*---------------------------------------------------------------------------- + Clock functions + This function is used to update the variable SystemCoreClock + and must be called whenever the core clock is changed. + *----------------------------------------------------------------------------*/ +void SystemCoreClockUpdate(void) /* Get Core Clock Frequency */ +{ + uint32_t u32Freq, u32ClkSrc; + uint32_t u32HclkDiv; + + /* Update PLL Clock */ + PllClock = CLK_GetPLLClockFreq(); + + u32ClkSrc = CLK->CLKSEL0 & CLK_CLKSEL0_HCLK_S_Msk; + + if(u32ClkSrc != CLK_CLKSEL0_HCLK_S_PLL) + { + /* Use the clock sources directly */ + u32Freq = gau32ClkSrcTbl[u32ClkSrc]; + } + else + { + /* Use PLL clock */ + u32Freq = PllClock; + } + + u32HclkDiv = (CLK->CLKDIV & CLK_CLKDIV_HCLK_N_Msk) + 1; + + /* Update System Core Clock */ + SystemCoreClock = u32Freq / u32HclkDiv; + + CyclesPerUs = (SystemCoreClock + 500000) / 1000000; +} + +/*---------------------------------------------------------------------------------------------------------*/ +/* Function: SystemInit */ +/* */ +/* Parameters: */ +/* None */ +/* */ +/* Returns: */ +/* None */ +/* */ +/* Description: */ +/* The necessary initialization of system. */ +/* */ +/*---------------------------------------------------------------------------------------------------------*/ +void SystemInit(void) +{ +} diff --git a/485/nuc120/RTE/_Target_1/RTE_Components.h b/485/nuc120/RTE/_Target_1/RTE_Components.h new file mode 100644 index 0000000..26ea275 --- /dev/null +++ b/485/nuc120/RTE/_Target_1/RTE_Components.h @@ -0,0 +1,31 @@ + +/* + * Auto generated Run-Time-Environment Configuration File + * *** Do not modify ! *** + * + * Project: '485' + * Target: 'Target 1' + */ + +#ifndef RTE_COMPONENTS_H +#define RTE_COMPONENTS_H + + +/* + * Define the Device Header File: + */ +#define CMSIS_device_header "NUC100Series.h" + +/* Nuvoton::Device:Driver:ADC:3.00.004 */ +#define RTE_Drivers_ADC /* Driver ADC */ +/* Nuvoton::Device:Driver:CLK:3.00.004 */ +#define RTE_Drivers_CLK /* Driver CLK */ +/* Nuvoton::Device:Driver:SYS:3.00.004 */ +#define RTE_Drivers_SYS /* Driver SYS */ +/* Nuvoton::Device:Driver:Timer:3.00.004 */ +#define RTE_Drivers_Timer /* Driver Timer */ +/* Nuvoton::Device:Driver:UART:3.00.004 */ +#define RTE_Drivers_UART /* Driver UART */ + + +#endif /* RTE_COMPONENTS_H */ diff --git a/485/nuc120/main.c b/485/nuc120/main.c new file mode 100644 index 0000000..1555bfa --- /dev/null +++ b/485/nuc120/main.c @@ -0,0 +1,224 @@ +/**************************************************************************** + * @file main.c + * @version V2.00 + * $Revision: 1 $ + * $Date: 14/12/08 11:50a $ + * @brief Transmit and receive data from PC terminal through RS232 interface. + * @note + * Copyright (C) 2014 Nuvoton Technology Corp. All rights reserved. + * + ******************************************************************************/ +#include +#include "NUC100Series.h" + + +#define PLL_CLOCK 50000000 + +#define RXBUFSIZE 1024 + +/*---------------------------------------------------------------------------------------------------------*/ +/* Global variables */ +/*---------------------------------------------------------------------------------------------------------*/ +uint8_t g_u8RecData[RXBUFSIZE] = {0}; + +volatile uint32_t g_u32comRbytes = 0; +volatile uint32_t g_u32comRhead = 0; +volatile uint32_t g_u32comRtail = 0; +volatile int32_t g_bWait = TRUE; + +/*---------------------------------------------------------------------------------------------------------*/ +/* Define functions prototype */ +/*---------------------------------------------------------------------------------------------------------*/ +int32_t main(void); +void UART_TEST_HANDLE(void); +void UART_FunctionTest(void); + + +void SYS_Init(void) +{ + /*---------------------------------------------------------------------------------------------------------*/ + /* Init System Clock */ + /*---------------------------------------------------------------------------------------------------------*/ + + /* Enable Internal RC 22.1184MHz clock */ + CLK_EnableXtalRC(CLK_PWRCON_OSC22M_EN_Msk); + + /* Waiting for Internal RC clock ready */ + CLK_WaitClockReady(CLK_CLKSTATUS_OSC22M_STB_Msk); + + /* Switch HCLK clock source to Internal RC and HCLK source divide 1 */ + CLK_SetHCLK(CLK_CLKSEL0_HCLK_S_HIRC, CLK_CLKDIV_HCLK(1)); + + /* Enable external XTAL 12MHz clock + CLK_EnableXtalRC(CLK_PWRCON_XTL12M_EN_Msk); + + /* Waiting for external XTAL clock ready + CLK_WaitClockReady(CLK_CLKSTATUS_XTL12M_STB_Msk); + + /* Set core clock as PLL_CLOCK from PLL */ + //CLK_SetCoreClock(PLL_CLOCK); + + /* Enable UART module clock */ + CLK_EnableModuleClock(UART1_MODULE); + + /* Select UART module clock source */ + //CLK_SetModuleClock(UART1_MODULE, CLK_CLKSEL1_UART_S_HXT, CLK_CLKDIV_UART(1)); + + /*---------------------------------------------------------------------------------------------------------*/ + /* Init I/O Multi-function */ + /*---------------------------------------------------------------------------------------------------------*/ + + /* Set GPB multi-function pins for UART0 RXD and TXD */ + SYS->GPB_MFP &= ~(SYS_GPB_MFP_PB5_Msk | SYS_GPB_MFP_PB4_Msk); + SYS->GPB_MFP |= SYS_GPB_MFP_PB5_UART1_TXD | SYS_GPB_MFP_PB4_UART1_RXD; + +} + +void UART1_Init() +{ + /*---------------------------------------------------------------------------------------------------------*/ + /* Init UART */ + /*---------------------------------------------------------------------------------------------------------*/ + /* Reset UART0 */ + SYS_ResetModule(UART1_RST); + + /* Configure UART0 and set UART0 Baudrate */ + UART_Open(UART1, 115200); +} + +/*---------------------------------------------------------------------------------------------------------*/ +/* UART Test Sample */ +/* Test Item */ +/* It sends the received data to HyperTerminal. */ +/*---------------------------------------------------------------------------------------------------------*/ + +/*---------------------------------------------------------------------------------------------------------*/ +/* MAIN function */ +/*---------------------------------------------------------------------------------------------------------*/ + + +void delay_ms(){ + for(int x = 1000; x > 0;x--){ + for(int z = 0; z < 300; z++){ + + } + } +} +int main(void) +{ + /* Unlock protected registers */ + SYS_UnlockReg(); + + /* Init System, peripheral clock and multi-function I/O */ + SYS_Init(); + + /* Lock protected registers */ + SYS_LockReg(); + + /* Init UART0 for printf and testing */ + UART1_Init(); + + /*---------------------------------------------------------------------------------------------------------*/ + /* SAMPLE CODE */ + /*---------------------------------------------------------------------------------------------------------*/ + + /* UART sample function */ + //UART_FunctionTest(); + + while(1){ + UART_Write(UART1,"shit",4); + delay_ms(); + }; + +} + +/*---------------------------------------------------------------------------------------------------------*/ +/* ISR to handle UART Channel 0 interrupt event */ +/*---------------------------------------------------------------------------------------------------------*/ +void UART02_IRQHandler(void) +{ + UART_TEST_HANDLE(); +} + +/*---------------------------------------------------------------------------------------------------------*/ +/* UART Callback function */ +/*---------------------------------------------------------------------------------------------------------*/ +void UART_TEST_HANDLE() +{ + uint8_t u8InChar = 0xFF; + uint32_t u32IntSts = UART0->ISR; + + if(u32IntSts & UART_ISR_RDA_INT_Msk) + { + printf("\nInput:"); + + /* Get all the input characters */ + while(UART_IS_RX_READY(UART1)) + { + /* Get the character from UART Buffer */ + u8InChar = UART_READ(UART1); + + printf("%c ", u8InChar); + + if(u8InChar == '0') + { + g_bWait = FALSE; + } + + /* Check if buffer full */ + if(g_u32comRbytes < RXBUFSIZE) + { + /* Enqueue the character */ + g_u8RecData[g_u32comRtail] = u8InChar; + g_u32comRtail = (g_u32comRtail == (RXBUFSIZE - 1)) ? 0 : (g_u32comRtail + 1); + g_u32comRbytes++; + } + } + printf("\nTransmission Test:"); + } + + if(u32IntSts & UART_ISR_THRE_INT_Msk) + { + uint16_t tmp; + tmp = g_u32comRtail; + if(g_u32comRhead != tmp) + { + u8InChar = g_u8RecData[g_u32comRhead]; + UART_WRITE(UART0, u8InChar); + g_u32comRhead = (g_u32comRhead == (RXBUFSIZE - 1)) ? 0 : (g_u32comRhead + 1); + g_u32comRbytes--; + } + } +} + +/*---------------------------------------------------------------------------------------------------------*/ +/* UART Function Test */ +/*---------------------------------------------------------------------------------------------------------*/ +void UART_FunctionTest() +{ + printf("+-----------------------------------------------------------+\n"); + printf("| UART Function Test |\n"); + printf("+-----------------------------------------------------------+\n"); + printf("| Description : |\n"); + printf("| The sample code will print input char on terminal |\n"); + printf("| Please enter any to start (Press '0' to exit) |\n"); + printf("+-----------------------------------------------------------+\n"); + + /* + Using a RS232 cable to connect UART0 and PC. + UART0 is set to debug port. UART0 is enable RDA and RLS interrupt. + When inputting char to terminal screen, RDA interrupt will happen and + UART0 will print the received char on screen. + */ + + /* Enable Interrupt and install the call back function */ + UART_EnableInt(UART1, (UART_IER_RDA_IEN_Msk | UART_IER_THRE_IEN_Msk | UART_IER_TOUT_IEN_Msk)); + while(g_bWait); + + /* Disable Interrupt */ + UART_DisableInt(UART1, (UART_IER_RDA_IEN_Msk | UART_IER_THRE_IEN_Msk | UART_IER_TOUT_IEN_Msk)); + g_bWait = TRUE; + printf("\nUART Sample Demo End.\n"); + +} + diff --git a/c51/relay_controller/STARTUP.OBJ b/c51/relay_controller/STARTUP.OBJ new file mode 100644 index 0000000000000000000000000000000000000000..8d270c1a49c6ea5a521ba1c56b9ded0342a184bb GIT binary patch literal 758 zcmZ8fJx|+E6g~b3abjo^T8Vb4EZkO96^IO(Bik_)YRD6Iq)g3U=u(L;(13vcj7p41 z>{%-q87na&fy4+C8%oc6w!!I>{d~`P_ug}T@B6la@q4e;bmrs4+e&(3iD2G|!(<`e z@S^CW(+U0FsuK#})sNO!J9q2)>l#jn`ucRvFc60R0@Zdf$hb%Rt=Y(y0bJK!LxX%U zjT!m{t!Cz`)f&)Y$RiC?ZL-0aw{L3XxU4+oU~X1ou7b*_J~M&O-_@nZQ>iR$Ol@1);il(D zWc1&ZQ_LV<*&-hDjfMO_>Sm*8rjb^^PzKwX!{;*klVvEVa@>|Jm65}{tH_C&a 0){ + recv_cnt --; + }else{ + recv_buf[index + 1] = "\0"; + recv_flag_global = 1; + index = 0; + sec_last_byte = 0; + last_byte = 0; + trd_last_byte = 0; + four_last_byte = 0; + } + } + RI = 0; recv_buf[index] = SBUF; - last_byte = SBUF; - index ++; + last_byte = SBUF; + index ++; if(index > 29){ - index = 0; - overflow_flag = 1; - } + index = 0; + overflow_flag = 1; + } } if (TI) { @@ -176,7 +246,7 @@ Output:None ----------------------------*/ void SendData(BYTE dat) { - while(busy == 1) return; + while(busy == 1) return; ACC = dat; //Calculate the even parity bit P (PSW.0) busy = 1; @@ -193,8 +263,12 @@ void SendString(char *s) { while (*s != '\0') //Check the end of the string { - Delay1020us(); + Delay1020us(); SendData(*s++); //Send current char and increment string ptr } } - +void SendWifi(char *dat){ + SendString(AT_SendData); + Delay3020ms(); + SendString(dat); +} \ No newline at end of file diff --git a/c51/relay_controller/relay b/c51/relay_controller/relay deleted file mode 100644 index 9cef2cc0864a5b4e7ae599c09a9934d3bf5f645e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8957 zcmb6<3v^V~wfo-rPB8aWERn%%VMnG!olNFafTP?5z77v$Q{k%$PEAaL{_wGA)CeXEd z7CHCsv(NsVz4zH?pF4Eaz{R4#G`~-eCjzlVeY6At-4_ohCdF$4)z!tmP`Il87GF3D zaP@x|FZA%Vx~f|x*!`2Y=j8GT62coDo8aQCAn{w?(9%}YsQY4dHIssMHPJvYQS7UV z)y<2CO`gai9884gC&INMUm{QypHx;1(0ZbHp(2Qd2m;*(FBx9@TfxGdcp~Wcmn@ax zQX3fy^c1x=&h zgO(vdE6_|!leH*8VhMuS6^#aN35!>ccn#MEs;a{v?*vfAKr()F4CFPqk~qc}nn5uQ z;5VetPfJI`F=R=fpCMg9g8q;09OMSF0%!CbaNz4|nCm9%D=T|Xp8e>2&zeeEx#dOO zM@VG@P2EV#-vr=PHlyj4S5QUe0DOWzblAK)aH5A~xaqwlIL&<dexaME|0D3c%y)oA9PQ zq3}|i(qobimHHJ1?D8Zd!EiEEUsJOn8SsUm&_n+wNe&S}vX8<@m%0i)h4_kwaWpk_ z7kY|}X;!aG#ULZ3OA|nTMn5M>AU_BWXVhO)3NTCajH`%RwHb=k*{Trsp(pJ_nK<4ghqj6Ftdzb6ZoKHt`aG zBJG>17zj0VH-Nkc=SRW-htz9SQXm;=Yv~5(vqT;T`DH?59F5f`c|x@1%S+2jmv@1z zgY0T)YieEz&h0oi76In~J`)juGOgH{>&Ll0IQJu5QZQJDyJ4F$*-429;HWZ1G30f1 zf)lqICXL3sl8aj#mVi8f1o5i+n?c@0)*w=OJB^9AE%=tGsSAO;ncl=$KfVR(=f}V) zf#gi}bgcsUIC_J2vU49uj&K4k`W+le%tlj2)Tuea_O`a>#%_QU>b1Fz4Xv%IhQ?)v z4+^0~5$dFR7K6N%sH*+o>_TCz0lBay zE_o@0Ki9`$0LvJ-QVYm#eB6nS#mBN{cRsltptpDRnR_4p{e`1n9{a}uByz$TH>ReE zn*Nj?M4@A~GMZRU-E@F)+6;gzwV424*KPo~O1lwYg7zQO-9%jlfKRIg&^3(CiCPux zN6lPz%`T)>r3k8z|I<{JvQ37npSp7?+$~)uP~ai8j%MPX`IR~c;5TXv;5{`CFsvp3K2Ylc zK2qlbe5}sHU7*efIIb=L_*DHC%t4v1w9FjuXpnP*STR)LnMJDH>7GF0>H|lqd_}%i zbr0MPs|xeg&LJ3*^R-!eo_-ywa6-MErY!_Gquv4Vxtav{LR|##H?;xa?`jI*OSO@@ zCRm3;Tp2=^U_O>bK7QS_`;};SCq|2lb|;3v(|j%aiW?WQO&M|wIrFu#=0Ek&$uA!0 zd9Y&m+2QAgcc51JS{eTHIYtdT?zwokx@rn4Omo!}rH(N^=cr+)7>-!Oeed@gp44&E zBmZU+vY0x|U;WBbfcupefQOW20FNlG00YW$fE`LZz!ORbz%Hc=;71B>?cGWbz#io; zfPKmefS)LL13a(XOWkUK7nELr{YoFe8_F7hA!QwP>j4hZ`Soi$zuu+u>q9!feyiL^ z-By54lx^@l8p$P$^eP>Ug?QQ>{a>#KA4bT;V=*_DDo2&UBE#^1P=8UeG+J^d@PMYZ!WT7~0-lSfroZJ+NV* zz@@*Bn7)S}t8^h|SS}rK4h;-Rj9^6yv5jb+>$nmlcy$8*ARC$oNe-Gd@ zj}f74NAD{G02h=WQ0{${x*Y%x^)akD)W-qx)F%K&t2=Qot3L!7ukHf)hWaFRKcem_ z+{Wr|>Ym2EtnMMXd#QT{R-qkSmL2NEMoPx9Af?h`x{fM}T}&tCRyUfXNGp{j{g1=a z7Xv=&y#Arsw?*3Xg!vhDKL;q*UI3V^?FX2uy#!FAy$s;jUV&DzWi0OHB6SEbo`^+i zZ|U)A>BIVIT_xEH_@u5GZp@OM>Q?1Gb&Ix7c}Cr<<*VlxeH|lppW@O^Y0sz~vP=ES z-*NO}zE;%tvHm|ou}*amU?mn3*bM=!Q{STQ0Ki7|Ai$&QA%Mr!x8WL*P;8oXV#GK^ z#Qbv6^{9mem>97<16K-X*kpQzwvxNHr7C^Z_>K2~B@_`TkkhXu1!6wQR$)HDsl1~tTa595>CMQnJm0)~yC zW*7rS&=I^NP0b4 zCYoZ9S?j>$DjMs-Fs}o1Q3jr2((^AV<6e>*$E#g984biDi3J~{a8iaEJ^P!!DxM_# zYhu*!Pg_b8jxsX_`BUC){_By(jOnu>%rB;y#;P-C8$ z`~{BS0`{p{_q~C9oan_-$eC8R!r~*rWMYDi`A{s;ItYG?!@MpOGCkCdtS;xFvBU=( zk^UEZh)UqkkSKF+oI+hlUkRE8iLo~*Hd{=15qR)Rgv=NOYsc!hz6 z=_ZV)qG$~FnJ_Ji=+~Gq%U^H8h7Z$wkLPdBn!nXH|0VW=d6n^uutPfiU^Ocm=Ljrj zESPct!=%S5jzm$U3?WxaYNQeUZMNcHf+d1S+PegkWynPo3#;*+Xc+Fz!f&wQzpzU! zdvks4!ofJNE*6R-(@I3T%n7&JatswL)QHJltO(w#-mw&+5TO*qj+23s88y3L`(i1D zu!;Z70g+4w=a(gumB^QH)aco7@}&(=8-$v{*8x`c;wrQD5rKs#Mm~Z3pBJ;pY<}dyQk9UxU z8e12y50+jrb}QYGzil!}p8{zY4GR`&CJBV?`55mh7JNFDD-7XICfnLOk_E`tNL>_U z0PW`HqV-;3vZV*vj8Y0W+KvoQ!a>5%U?e^X&oJTtk82g{)4(Sv`EuUVO-^i;Z0{{X zyxn_P{aWzvIaZQ*+oQrUo}8UbmZP-HNaT~(A<7sMNYd^}QGtVB)53GZwdR>H{<5?Z zj3Yv9MB9>?I}h07eB^P6=|GF z1`B)T(j*w9S#;Z2JjN^Q(oGJzh^2tL*Ot7lv2h>=4BB7{nIVLUhLt>s@4V8}tYj>5 zZiJGYA7wa^#S-t787#>Tc4Oj&(t`JtLLlEi8=NO&)v=%;fOJ{MM>?mBfQX;|YA{&>;LygzfkrBFt8)}Eju2GsIi_=d}lpXwyfY3c0b=F=<5Wz zpArEz5=wANK!&PhDZT-*I~ito@r{S^3=`hN8H#`ZxMPH(TxUptAMZpXM%&mv)(C2QphSXOe)>MHMsbC8TBtmzL|FCT`0jfOup>FYi)1|@4}iu>^8F! znlOS_dUaRYXxptQZt1>Z91>-om1!cMjc5rI(N9CSn?#q&%D=M_ku~NZ%yD-sGpSmT z2vf~miAYK;WP)njap6Un8ZVvCDTeu+B6zKJTvYo9|Ak^77%VNGa}iD}W`11}KP>G) zE|>daQJlr44`DvYe$7r1snPgR?lkhs44m}w5i)sEppdCn*kCHr8Rl5$uLm4h3^V>f z7Cpmc-Ji4RlU<8DPg!O%Ouy0kB;x>|$%ITRqjL&ua2Ye9pW^B)CbVxeucg_c8$&!F zAhgoB4c?g=C+No{@xLDg{bj*vf$633GQ1a%H;FSfdZv>SeS< zdh#6pRXWc0NqFYfoNGRFs}l6IWkA!bQ@k_Y#9e#~1CXd>O%i5HI{Yd~ogIcMh(5=TFw# zu`@?c_q|?3zt$a8@ryK;jcP@(B3K=YCSr4RacMcP+Q!P=Xrc4!tCD-m+WXcW*Q4@j e{nZQd37x=`59!w-3rCtot CALLED SEGMENT START LENGTH START LENGTH ------------------------------------------------------------------ - BL51 BANKED LINKER/LOCATER V6.22 07/13/2019 21:58:43 PAGE 2 - - ?C_C51STARTUP ----- ----- ----- ----- +--> ?PR?MAIN?MAIN +--> ?C_INITSEG -?PR?MAIN?MAIN 0020H.3 0000H.2 ----- ----- +?PR?MAIN?MAIN 0020H.6 0000H.2 ----- ----- +--> ?PR?DELAY3020MS?MAIN +--> ?PR?UART_INIT?MAIN +--> ?PR?P1_UART?MAIN @@ -75,7 +78,7 @@ SEGMENT BIT_GROUP DATA_GROUP +--> ?PR?_SENDSTRING?MAIN +--> ?PR?CALLBACKUART?MAIN -?PR?_SENDSTRING?MAIN ----- ----- 0008H 0003H +?PR?_SENDSTRING?MAIN ----- ----- 000BH 0003H +--> ?PR?DELAY1020US?MAIN +--> ?PR?_SENDDATA?MAIN @@ -84,6 +87,11 @@ SEGMENT BIT_GROUP DATA_GROUP +--> ?PR?_SENDSTRING?MAIN +--> ?PR?P1_UART?MAIN +*** NEW ROOT *************************************************** + +?PR?UART_ISR?MAIN ----- ----- ----- ----- + +--> ?CO?MAIN + SYMBOL TABLE OF MODULE: relay (?C_STARTUP) @@ -92,8 +100,8 @@ SYMBOL TABLE OF MODULE: relay (?C_STARTUP) ---------------------------------- ------- MODULE ?C_STARTUP - C:0800H SEGMENT ?C_C51STARTUP - I:0041H SEGMENT ?STACK + C:08DBH SEGMENT ?C_C51STARTUP + I:0054H SEGMENT ?STACK C:0000H PUBLIC ?C_STARTUP D:00E0H SYMBOL ACC D:00F0H SYMBOL B @@ -102,7 +110,7 @@ SYMBOL TABLE OF MODULE: relay (?C_STARTUP) N:0000H SYMBOL IBPSTACK N:0100H SYMBOL IBPSTACKTOP N:0080H SYMBOL IDATALEN - C:0803H SYMBOL IDATALOOP + C:08DEH SYMBOL IDATALOOP N:0000H SYMBOL PBPSTACK N:0100H SYMBOL PBPSTACKTOP N:0000H SYMBOL PDATALEN @@ -111,84 +119,90 @@ SYMBOL TABLE OF MODULE: relay (?C_STARTUP) N:0000H SYMBOL PPAGEENABLE D:00A0H SYMBOL PPAGE_SFR D:0081H SYMBOL SP - C:0800H SYMBOL STARTUP1 + BL51 BANKED LINKER/LOCATER V6.22 02/02/2020 01:51:01 PAGE 3 + + + C:08DBH SYMBOL STARTUP1 N:0000H SYMBOL XBPSTACK N:0000H SYMBOL XBPSTACKTOP N:0000H SYMBOL XDATALEN N:0000H SYMBOL XDATASTART C:0000H LINE# 126 - C:0800H LINE# 133 - C:0802H LINE# 134 - BL51 BANKED LINKER/LOCATER V6.22 07/13/2019 21:58:43 PAGE 3 - - - C:0803H LINE# 135 - C:0804H LINE# 136 - C:0806H LINE# 185 - C:0809H LINE# 196 + C:08DBH LINE# 133 + C:08DDH LINE# 134 + C:08DEH LINE# 135 + C:08DFH LINE# 136 + C:08E1H LINE# 185 + C:08E4H LINE# 196 ------- ENDMOD ?C_STARTUP ------- MODULE MAIN C:0000H SYMBOL _ICE_DUMMY_ + C:0A13H PUBLIC AT_SendData D:0092H PUBLIC P1M0 - C:0A3BH PUBLIC P1_Uart + C:0B5CH PUBLIC P1_Uart D:0091H PUBLIC P1M1 D:0080H PUBLIC P0 D:0090H PUBLIC P1 - C:0A42H PUBLIC P3_Uart + C:0B63H PUBLIC P3_Uart D:00A0H PUBLIC P2 D:00B0H PUBLIC P3 D:00C0H PUBLIC P4 + B:0020H.2 PUBLIC recv_flag_global D:00C8H PUBLIC P5 B:00A8H.7 PUBLIC EA - C:0972H PUBLIC _SendString - C:0A25H PUBLIC _SendData + C:0A9BH PUBLIC _SendString + C:0B46H PUBLIC _SendData D:00A8H PUBLIC IE - C:09C6H PUBLIC Uart_Init + C:0AF0H PUBLIC Uart_Init B:00A8H.4 PUBLIC ES D:00B8H PUBLIC IP - C:0A16H PUBLIC Delay3020ms - D:0022H PUBLIC index + C:0B28H PUBLIC Delay3020ms + D:0026H PUBLIC index B:0098H.0 PUBLIC RI B:0098H.1 PUBLIC TI - C:0A32H PUBLIC Delay1020us + C:0B0DH PUBLIC _SendWifi + C:0B53H PUBLIC Delay1020us D:00D8H PUBLIC CCON - C:088CH PUBLIC main - C:08DEH PUBLIC Uart_Isr - B:0020H.0 PUBLIC recv_flag + C:0967H PUBLIC main + C:0800H PUBLIC Uart_Isr D:0099H PUBLIC SBUF D:0087H PUBLIC PCON + D:0027H PUBLIC gSendbuf D:0098H PUBLIC SCON D:0089H PUBLIC TMOD D:0088H PUBLIC TCON + B:0020H.3 PUBLIC connected_flag D:008EH PUBLIC AUXR - B:0020H.1 PUBLIC busy + C:09EAH PUBLIC AT_Connect + B:0020H.4 PUBLIC busy D:00E0H PUBLIC ACC B:00A8H.3 PUBLIC ET1 D:008DH PUBLIC TH1 - C:0949H PUBLIC Connect - C:09E3H PUBLIC callbackUart - D:0023H PUBLIC recv_buf + BL51 BANKED LINKER/LOCATER V6.22 02/02/2020 01:51:01 PAGE 4 + + + D:0035H PUBLIC index_tmp + C:0AC7H PUBLIC callbackUart + D:0036H PUBLIC recv_buf D:008BH PUBLIC TL1 B:0088H.6 PUBLIC TR1 B:0098H.4 PUBLIC REN + C:0B37H PUBLIC Delay500ms + C:09CCH PUBLIC AT_SetUp D:00A2H PUBLIC AUXR1 - C:092BH PUBLIC SetUp - B:0020H.2 PUBLIC overflow_flag + B:0020H.5 PUBLIC overflow_flag D:00D0H PUBLIC PSW ------- PROC P1_UART - C:0A3BH LINE# 43 - C:0A3BH LINE# 44 - BL51 BANKED LINKER/LOCATER V6.22 07/13/2019 21:58:43 PAGE 4 - - - C:0A3EH LINE# 45 - C:0A41H LINE# 46 + C:0B5CH LINE# 49 + C:0B5CH LINE# 50 + C:0B5FH LINE# 51 + C:0B62H LINE# 52 ------- ENDPROC P1_UART ------- PROC P3_UART - C:0A42H LINE# 47 - C:0A42H LINE# 48 - C:0A45H LINE# 49 + C:0B63H LINE# 53 + C:0B63H LINE# 54 + C:0B66H LINE# 55 ------- ENDPROC P3_UART ------- PROC DELAY3020MS ------- DO @@ -196,160 +210,249 @@ SYMBOL TABLE OF MODULE: relay (?C_STARTUP) D:0006H SYMBOL j D:0005H SYMBOL k ------- ENDDO - C:0A16H LINE# 50 - C:0A16H LINE# 51 - C:0A16H LINE# 54 - C:0A17H LINE# 55 - C:0A18H LINE# 56 - C:0A1AH LINE# 57 - C:0A1CH LINE# 58 - C:0A1EH LINE# 60 - C:0A1EH LINE# 62 - C:0A1EH LINE# 63 - C:0A20H LINE# 64 - C:0A22H LINE# 65 - C:0A24H LINE# 66 + C:0B28H LINE# 56 + C:0B28H LINE# 57 + C:0B28H LINE# 60 + C:0B29H LINE# 61 + C:0B2AH LINE# 62 + C:0B2CH LINE# 63 + C:0B2EH LINE# 64 + C:0B30H LINE# 66 + C:0B30H LINE# 68 + C:0B30H LINE# 69 + C:0B32H LINE# 70 + C:0B34H LINE# 71 + C:0B36H LINE# 72 ------- ENDPROC DELAY3020MS + ------- PROC DELAY500MS + ------- DO + D:0007H SYMBOL i + D:0006H SYMBOL j + D:0005H SYMBOL k + ------- ENDDO + C:0B37H LINE# 73 + C:0B37H LINE# 74 + C:0B37H LINE# 77 + C:0B38H LINE# 78 + C:0B39H LINE# 79 + C:0B3BH LINE# 80 + C:0B3DH LINE# 81 + C:0B3FH LINE# 83 + C:0B3FH LINE# 85 + BL51 BANKED LINKER/LOCATER V6.22 02/02/2020 01:51:01 PAGE 5 + + + C:0B3FH LINE# 86 + C:0B41H LINE# 87 + C:0B43H LINE# 88 + C:0B45H LINE# 89 + ------- ENDPROC DELAY500MS ------- PROC DELAY1020US ------- DO D:0007H SYMBOL i D:0006H SYMBOL j ------- ENDDO - C:0A32H LINE# 68 - C:0A32H LINE# 69 - C:0A32H LINE# 72 - C:0A34H LINE# 73 - C:0A36H LINE# 75 - C:0A36H LINE# 76 - C:0A38H LINE# 77 - C:0A3AH LINE# 78 + C:0B53H LINE# 91 + C:0B53H LINE# 92 + C:0B53H LINE# 95 + C:0B55H LINE# 96 + C:0B57H LINE# 98 + C:0B57H LINE# 99 + C:0B59H LINE# 100 + C:0B5BH LINE# 101 ------- ENDPROC DELAY1020US ------- PROC UART_INIT - C:09C6H LINE# 79 - C:09C6H LINE# 80 - C:09C9H LINE# 81 - C:09CCH LINE# 82 - C:09CFH LINE# 83 - C:09D2H LINE# 84 - C:09D5H LINE# 85 - C:09D8H LINE# 86 - C:09DBH LINE# 87 - C:09DEH LINE# 88 - C:09E0H LINE# 89 - C:09E2H LINE# 90 + C:0AF0H LINE# 102 + C:0AF0H LINE# 103 + C:0AF3H LINE# 104 + C:0AF6H LINE# 105 + C:0AF9H LINE# 106 + C:0AFCH LINE# 107 + C:0AFFH LINE# 108 + C:0B02H LINE# 109 + C:0B05H LINE# 110 + C:0B08H LINE# 111 + C:0B0AH LINE# 112 + C:0B0CH LINE# 113 ------- ENDPROC UART_INIT ------- PROC CALLBACKUART - BL51 BANKED LINKER/LOCATER V6.22 07/13/2019 21:58:43 PAGE 5 - - - C:09E3H LINE# 92 - C:09E3H LINE# 93 - C:09E9H LINE# 94 - C:09ECH LINE# 95 - C:09F5H LINE# 96 - C:09F7H LINE# 97 - C:09F9H LINE# 98 - C:09FCH LINE# 99 - C:09FCH LINE# 100 + C:0AC7H LINE# 115 + C:0AC7H LINE# 116 + C:0ACDH LINE# 117 + C:0AD0H LINE# 118 + C:0AD9H LINE# 119 + C:0AE8H LINE# 120 + C:0AEAH LINE# 121 + C:0AECH LINE# 122 + C:0AEFH LINE# 123 + C:0AEFH LINE# 124 ------- ENDPROC CALLBACKUART ------- PROC MAIN ------- DO - B:0020H.3 SYMBOL flag1 - B:0020H.4 SYMBOL flag2 + D:0007H SYMBOL i + B:0020H.6 SYMBOL flag1 + B:0020H.7 SYMBOL flag2 ------- ENDDO - C:088CH LINE# 101 - C:088CH LINE# 102 - C:088CH LINE# 103 - C:088EH LINE# 104 - C:0890H LINE# 105 - C:0893H LINE# 107 - C:0896H LINE# 108 - C:0899H LINE# 109 - C:089CH LINE# 111 - C:089FH LINE# 112 - C:08A2H LINE# 115 - C:08A4H LINE# 116 - C:08A6H LINE# 117 - C:08A8H LINE# 118 - C:08ABH LINE# 119 - C:08AEH LINE# 122 - C:08B1H LINE# 125 - C:08B1H LINE# 126 - C:08B4H LINE# 127 - C:08B6H LINE# 128 - C:08BFH LINE# 129 - C:08C2H LINE# 131 - C:08C2H LINE# 132 - C:08C5H LINE# 133 - C:08CBH LINE# 134 - C:08CDH LINE# 135 - C:08D6H LINE# 136 - C:08D9H LINE# 138 - C:08D9H LINE# 139 - C:08DCH LINE# 140 + C:0967H LINE# 125 + C:0967H LINE# 126 + C:0967H LINE# 127 + C:0969H LINE# 128 + C:096BH LINE# 129 + C:096DH LINE# 130 + BL51 BANKED LINKER/LOCATER V6.22 02/02/2020 01:51:01 PAGE 6 + + + C:0970H LINE# 132 + C:0973H LINE# 133 + C:0976H LINE# 134 + C:0979H LINE# 136 + C:097BH LINE# 137 + C:097EH LINE# 140 + C:0980H LINE# 141 + C:0982H LINE# 142 + C:0984H LINE# 143 + C:0987H LINE# 144 + C:098AH LINE# 147 + C:098DH LINE# 149 + C:098EH LINE# 150 + C:0997H LINE# 151 + C:099BH LINE# 152 + C:099BH LINE# 153 + C:099EH LINE# 154 + C:09A0H LINE# 155 + C:09A9H LINE# 156 + C:09ACH LINE# 158 + C:09ACH LINE# 159 + C:09AFH LINE# 160 + C:09B5H LINE# 161 + C:09B7H LINE# 162 + C:09C0H LINE# 163 + C:09C3H LINE# 164 + C:09C5H LINE# 166 + C:09C5H LINE# 167 + C:09C8H LINE# 168 ------- ENDPROC MAIN ------- PROC UART_ISR ------- DO D:0021H SYMBOL last_byte + D:0022H SYMBOL sec_last_byte + D:0023H SYMBOL trd_last_byte + D:0024H SYMBOL four_last_byte + B:0020H.0 SYMBOL flag_recv + B:0020H.1 SYMBOL flag_need_read + D:0025H SYMBOL recv_cnt ------- ENDDO - C:08DEH LINE# 146 - C:08E7H LINE# 149 - C:08EAH LINE# 150 - C:08EAH LINE# 151 - C:08ECH LINE# 152 - C:08FCH LINE# 153 - C:08FEH LINE# 154 - BL51 BANKED LINKER/LOCATER V6.22 07/13/2019 21:58:43 PAGE 6 + C:0800H LINE# 178 + C:0809H LINE# 188 + C:080FH LINE# 189 + C:080FH LINE# 190 + C:0811H LINE# 191 + C:0825H LINE# 194 + C:0825H LINE# 195 + C:082CH LINE# 196 + C:082EH LINE# 197 + C:0831H LINE# 198 + C:0834H LINE# 199 + C:0837H LINE# 200 + C:083AH LINE# 201 + C:083DH LINE# 203 + C:083DH LINE# 204 + C:0851H LINE# 205 + C:0851H LINE# 206 + BL51 BANKED LINKER/LOCATER V6.22 02/02/2020 01:51:01 PAGE 7 - C:0901H LINE# 155 - C:0901H LINE# 156 - C:0903H LINE# 157 - C:090AH LINE# 158 - C:090DH LINE# 159 - C:090FH LINE# 160 - C:0918H LINE# 161 - C:091BH LINE# 162 - C:091DH LINE# 163 - C:091DH LINE# 164 - C:091DH LINE# 165 - C:0920H LINE# 166 - C:0920H LINE# 167 - C:0922H LINE# 168 - C:0924H LINE# 169 - C:0924H LINE# 170 + C:0853H LINE# 207 + C:0856H LINE# 208 + C:0856H LINE# 211 + C:0859H LINE# 212 + C:0862H LINE# 213 + C:0864H LINE# 214 + C:0866H LINE# 215 + C:086DH LINE# 216 + C:086FH LINE# 217 + C:0872H LINE# 218 + C:0875H LINE# 219 + C:0878H LINE# 220 + C:087BH LINE# 221 + C:087EH LINE# 222 + C:087EH LINE# 223 + C:087EH LINE# 225 + C:0880H LINE# 226 + C:0887H LINE# 227 + C:088AH LINE# 228 + C:0893H LINE# 229 + C:089BH LINE# 230 + C:089BH LINE# 231 + C:08A4H LINE# 232 + C:08ACH LINE# 233 + C:08ACH LINE# 234 + C:08B5H LINE# 235 + C:08BDH LINE# 236 + C:08BDH LINE# 238 + C:08BFH LINE# 239 + C:08C8H LINE# 240 + C:08CBH LINE# 241 + C:08CDH LINE# 242 + C:08CDH LINE# 243 + C:08CDH LINE# 244 + C:08D0H LINE# 245 + C:08D0H LINE# 246 + C:08D2H LINE# 247 + C:08D4H LINE# 248 + C:08D4H LINE# 249 ------- ENDPROC UART_ISR ------- PROC _SENDDATA D:0007H SYMBOL dat - C:0A25H LINE# 177 - C:0A25H LINE# 178 - C:0A25H LINE# 179 - C:0A29H LINE# 180 - C:0A2AH LINE# 182 - C:0A2CH LINE# 183 - C:0A2EH LINE# 184 - C:0A31H LINE# 185 + C:0B46H LINE# 256 + C:0B46H LINE# 257 + C:0B46H LINE# 258 + C:0B4AH LINE# 259 + C:0B4BH LINE# 261 + C:0B4DH LINE# 262 + C:0B4FH LINE# 263 + C:0B52H LINE# 264 ------- ENDPROC _SENDDATA ------- PROC _SENDSTRING - D:0008H SYMBOL s - C:0972H LINE# 192 - C:0978H LINE# 193 - C:0978H LINE# 194 - C:0983H LINE# 195 - C:0983H LINE# 196 - C:0986H LINE# 197 - C:099BH LINE# 198 - C:099DH LINE# 199 + D:000BH SYMBOL s + C:0A9BH LINE# 271 + C:0AA1H LINE# 272 + C:0AA1H LINE# 273 + C:0AACH LINE# 274 + BL51 BANKED LINKER/LOCATER V6.22 02/02/2020 01:51:01 PAGE 8 + + + C:0AACH LINE# 275 + C:0AAFH LINE# 276 + C:0AC4H LINE# 277 + C:0AC6H LINE# 278 ------- ENDPROC _SENDSTRING + ------- PROC _SENDWIFI + D:0008H SYMBOL dat + C:0B0DH LINE# 279 + C:0B13H LINE# 280 + C:0B1CH LINE# 281 + C:0B1FH LINE# 282 + ------- ENDPROC _SENDWIFI ------- ENDMOD MAIN ------- MODULE ?C?CLDPTR - C:09FDH PUBLIC ?C?CLDPTR + C:0A22H PUBLIC ?C?CLDPTR ------- ENDMOD ?C?CLDPTR + ------- MODULE ?C?MEMSET + C:0A3BH PUBLIC ?C?MEMSET + ------- ENDMOD ?C?MEMSET + +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN + +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?_SENDWIFI?MAIN + ****************************************************************************** -* RESTRICTED VERSION WITH 0800H BYTE CODE SIZE LIMIT; USED: 01B2H BYTE (21%) * +* RESTRICTED VERSION WITH 0800H BYTE CODE SIZE LIMIT; USED: 02A7H BYTE (33%) * ****************************************************************************** -Program Size: data=44.5 xdata=0 code=588 -LINK/LOCATE RUN COMPLETE. 0 WARNING(S), 0 ERROR(S) +Program Size: data=67.0 xdata=0 code=877 +LINK/LOCATE RUN COMPLETE. 2 WARNING(S), 0 ERROR(S) diff --git a/c51/relay_controller/relay.hex b/c51/relay_controller/relay.hex index d20869b..f92379b 100644 --- a/c51/relay_controller/relay.hex +++ b/c51/relay_controller/relay.hex @@ -1,49 +1,69 @@ -:03000000020800F3 -:0C080000787FE4F6D8FD758140020847BF -:10092B00415445300D0A000000000000000000009B -:10093B000000000000000000000000000000415417 -:10094B002B43495053544152543D22544350222C73 -:10095B00223139322E3136382E322E313038222C8C -:07096B00383038300D0A009E -:10099E00C100C1021E230000000000000000000084 -:1009AE000000000000000000000000000000000039 -:0709BE00000000000122000F -:070A3B0053A27F43A28022B9 -:040A420053A27F221A -:0E0A160000007F7F7EE97D94DDFEDEFCDFFACE -:010A240022AF -:080A32007F0B7EF6DEFEDFFC07 -:010A3A002299 -:1009C60053877F759850438E40538EFE53890F434D -:0D09D6008920758BFD758DFDC2ABD28E2280 -:1009E300200003300213120A427B007A007923129B -:0A09F3000972C200C202120A3B2280 -:10088C00C203C204120A16120A16120A161209C65A -:10089C00E4F59175923FD2ACD2AFD29C120A3B12C6 -:1008AC000A32120A3220030ED2037BFF7A09792B0B -:1008BC00120972120A161209E330031120040ED227 -:1008CC00047BFF7A097949120972120A161209E39C -:0208DC0080D3C7 -:030023000208DEF2 -:0908DE00C0E0C0D075D000C000DC -:1008E700309833C298E521B40D10E599B40A0BE5A9 -:1008F7002264016005D200752200C2987423252264 -:10090700F8A6998599210522D3E5226480949D4014 -:0D09170005752200D202309904C299C20178 -:07092400D000D0D0D0E0327A -:0D0A250030010122EFD201F5992001FD22E0 -:100972008B088A09890AAB08AA09A90A1209FD602B -:100982001A120A32AB08050AE50AAA097002050919 -:0C09920014F91209FDFF120A2580DB2277 -:10080C0002088CE493A3F8E493A34003F68001F26E -:10081C0008DFF48029E493A3F85407240CC8C333ED -:10082C00C4540F4420C8834004F456800146F6DFBC -:10083C00E4800B010204081020408090099EE47EA5 -:10084C00019360BCA3FF543F30E509541FFEE493B1 -:10085C00A360010ECF54C025E060A840B8E493A378 -:10086C00FAE493A3F8E493A3C8C582C8CAC583CAA3 -:10087C00F0A3C8C582C8CAC583CADFE9DEE780BE5B -:0109C5000031 -:1009FD00BB010689828A83E0225002E722BBFE02F8 -:090A0D00E32289828A83E493222A +:030000000208DB18 +:0C08DB00787FE4F6D8FD758153020922F5 +:1009CA000000415445300D0A0000000000000000FC +:1009DA00000000000000000000000000000000000D +:1009EA0041542B43495053544152543D225443508D +:1009FA00222C223139322E3136382E322E313038ED +:100A0A00222C383038300D0A0041542B43495053B8 +:080A1A00454E443D350D0A0074 +:100A6700C103C102C1051E360000000000000000DE +:100A7700000000000000000000000000000000006F +:100A8700000000000000012600013500C100C1017F +:030A970001250036 +:070B5C0053A27F43A2802297 +:040B630053A27F22F8 +:0E0B280000007F7F7EE97D94DDFEDEFCDFFABB +:010B3600229C +:0E0B370000007F167E037DE3DDFEDEFCDFFAAC +:010B4500228D +:080B53007F0B7EF6DEFEDFFCE5 +:010B5B002277 +:100AF00053877F759850438E40538EFE53890F4322 +:0D0B00008920758BFD758DFDC2ABD28E2254 +:100AC700200203300522120B637B007A007936126D +:100AD7000A9B7E007F1E7D007B007A007936120A12 +:090AE7003BC202C205120B5C22A5 +:10096700E4FFC206C207120B28120B28120B28122B +:100977000AF0F59175923FD2ACD2AFD29C120B5CC4 +:10098700120B53120B53FFEF04FE74272FF8A60622 +:100997000FBF0DF320060ED2067BFF7A0979CC1222 +:1009A7000A9B120B28120AC7300613200710D2071A +:1009B7007BFF7A0979EA120A9B120B28D203120AE3 +:0309C700C780D115 +:03002300020800D0 +:09080000C0E0C0D075D000C000BA +:100809002098030208CDC298E521640D7026E59968 +:10081900B40A21E5266401601B20031874372526D4 +:10082900F87600D20275260075220075210075231D +:1008390000752400E521B43A14E522B4350FE52307 +:10084900B42C0AE524B44405D201752505300125E7 +:10085900D3E52564809480400415258018743725D4 +:1008690026F876CAD2027526007522007521007510 +:100879002300752400C29874362526F8A69985990F +:1008890021D3E52664809480400874352526F8E64E +:10089900F522D3E52664809481400874342526F82E +:1008A900E6F522D3E526648094824008743425262F +:1008B900F8E6F5220526D3E5266480949D40057562 +:0B08C9002600D205309904C299C20439 +:0708D400D000D0D0D0E032CB +:0D0B460030040122EFD204F5992004FD22B5 +:100A9B008B0B8A0C890DAB0BAA0CA90D120A2260C9 +:100AAB001A120B53AB0B050DE50DAA0C7002050CBE +:0C0ABB0014F9120A22FF120B4680DB2205 +:100B0D008B088A09890A7BFF7A0A7913120A9B12CC +:0B0B1D000B28AB08AA09A90A020A9BDA +:1008E700020967E493A3F8E493A34003F68001F2B7 +:1008F70008DFF48029E493A3F85407240CC8C33312 +:10090700C4540F4420C8834004F456800146F6DFE0 +:10091700E4800B0102040810204080900A67E47EFF +:10092700019360BCA3FF543F30E509541FFEE493D5 +:10093700A360010ECF54C025E060A840B8E493A39C +:10094700FAE493A3F8E493A3C8C582C8CAC583CAC7 +:10095700F0A3C8C582C8CAC583CADFE9DEE780BE7F +:010A9A00005B +:100A2200BB010689828A83E0225002E722BBFE02D2 +:090A3200E32289828A83E4932205 +:100A3B00EF4E6012EF60010EEDBB010B89828A83D2 +:100A4B00F0A3DFFCDEFA2289F05007F709DFFCA9DF +:0C0A5B00F022BBFEFCF309DFFCA9F02236 :00000001FF diff --git a/c51/relay_controller/relay.plg b/c51/relay_controller/relay.plg index 6b05027..cbdd96f 100644 --- a/c51/relay_controller/relay.plg +++ b/c51/relay_controller/relay.plg @@ -3,634 +3,588 @@

 

µVision Build Log

Project:

-D:\project\relay_controller\relay.uvproj -Project File Date: 07/08/2019 +D:\project\HardwareDriver\c51\relay_controller\relay.uvproj +Project File Date:

Output:

Build target 'Target 1' -linking... -*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=495 -creating hex file from "relay"... -"relay" - 0 Error(s), 1 Warning(s). -Build target 'Target 1' compiling main.c... linking... -Program Size: data=39.3 xdata=0 code=498 -creating hex file from "relay"... +Program Size: data=44.5 xdata=0 code=588 +"relay" - 0 Error(s), 0 Warning(s). +Build target 'Target 1' +linking... +Program Size: data=44.5 xdata=0 code=588 "relay" - 0 Error(s), 0 Warning(s). Build target 'Target 1' compiling main.c... -linking... -Program Size: data=39.3 xdata=0 code=507 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). +MAIN.C(43): error C231: '_SendData': redefinition +MAIN.C(44): error C141: syntax error near 'void' +MAIN.C(44): error C141: syntax error near '{' +MAIN.C(45): error C231: 'AUXR1': redefinition +MAIN.C(46): error C231: 'AUXR1': redefinition +MAIN.C(48): error C141: syntax error near '{' +MAIN.C(49): error C231: 'AUXR1': redefinition +MAIN.C(52): error C141: syntax error near '{' +MAIN.C(55): error C231: '__nop_': redefinition +MAIN.C(56): error C231: '__nop_': redefinition +MAIN.C(57): error C231: 'i': redefinition +MAIN.C(57): error C231: 'i': redefinition +MAIN.C(58): error C231: 'j': redefinition +MAIN.C(58): error C231: 'j': redefinition +MAIN.C(59): error C231: 'k': redefinition +MAIN.C(59): error C231: 'k': redefinition +MAIN.C(60): error C141: syntax error near 'do' +MAIN.C(64): error C141: syntax error near '--' +MAIN.C(64): error C129: missing ';' before ')' +Target not created Build target 'Target 1' compiling main.c... -linking... -Program Size: data=39.3 xdata=0 code=505 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). +MAIN.C(44): error C141: syntax error near 'void' +MAIN.C(44): error C141: syntax error near '{' +MAIN.C(45): error C231: 'AUXR1': redefinition +MAIN.C(46): error C231: 'AUXR1': redefinition +MAIN.C(48): error C141: syntax error near '{' +MAIN.C(49): error C231: 'AUXR1': redefinition +MAIN.C(52): error C141: syntax error near '{' +MAIN.C(55): error C231: '__nop_': redefinition +MAIN.C(56): error C231: '__nop_': redefinition +MAIN.C(57): error C231: 'i': redefinition +MAIN.C(57): error C231: 'i': redefinition +MAIN.C(58): error C231: 'j': redefinition +MAIN.C(58): error C231: 'j': redefinition +MAIN.C(59): error C231: 'k': redefinition +MAIN.C(59): error C231: 'k': redefinition +MAIN.C(60): error C141: syntax error near 'do' +MAIN.C(64): error C141: syntax error near '--' +MAIN.C(64): error C129: missing ';' before ')' +Target not created Build target 'Target 1' compiling main.c... -main.c(112): warning C206: 'Delay1020sus': missing function-prototype +MAIN.C(129): error C202: 'SetUp': undefined identifier +MAIN.C(136): error C202: 'Connect': undefined identifier +Target not created +Build target 'Target 1' +compiling main.c... +MAIN.C(200): warning C280: 'dat': unreferenced local variable +MAIN.C(200): warning C280: 'len': unreferenced local variable linking... -*** WARNING L1: UNRESOLVED EXTERNAL SYMBOL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) -*** WARNING L2: REFERENCE MADE TO UNRESOLVED EXTERNAL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) - ADDRESS: 08AEH -Program Size: data=39.3 xdata=0 code=505 -creating hex file from "relay"... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?_SENDWIFI?MAIN +Program Size: data=49.5 xdata=0 code=619 "relay" - 0 Error(s), 3 Warning(s). Build target 'Target 1' compiling main.c... -main.c(112): warning C206: 'Delay1020sus': missing function-prototype +MAIN.C(200): warning C280: 'dat': unreferenced local variable +MAIN.C(200): warning C280: 'len': unreferenced local variable linking... -*** WARNING L1: UNRESOLVED EXTERNAL SYMBOL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) -*** WARNING L2: REFERENCE MADE TO UNRESOLVED EXTERNAL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) - ADDRESS: 08AEH -Program Size: data=39.3 xdata=0 code=505 -creating hex file from "relay"... -"relay" - 0 Error(s), 3 Warning(s). -Build target 'Target 1' -compiling main.c... -main.c(111): warning C206: 'Delay1020sus': missing function-prototype -linking... -*** WARNING L1: UNRESOLVED EXTERNAL SYMBOL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) -*** WARNING L2: REFERENCE MADE TO UNRESOLVED EXTERNAL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) - ADDRESS: 08AEH -Program Size: data=39.3 xdata=0 code=502 -creating hex file from "relay"... -"relay" - 0 Error(s), 3 Warning(s). -Build target 'Target 1' -compiling main.c... -main.c(111): warning C206: 'Delay1020sus': missing function-prototype -linking... -*** WARNING L1: UNRESOLVED EXTERNAL SYMBOL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) -*** WARNING L2: REFERENCE MADE TO UNRESOLVED EXTERNAL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) - ADDRESS: 08AEH -Program Size: data=39.3 xdata=0 code=502 -creating hex file from "relay"... -"relay" - 0 Error(s), 3 Warning(s). -Build target 'Target 1' -compiling main.c... -main.c(111): warning C206: 'Delay1020sus': missing function-prototype -linking... -*** WARNING L1: UNRESOLVED EXTERNAL SYMBOL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) -*** WARNING L2: REFERENCE MADE TO UNRESOLVED EXTERNAL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) - ADDRESS: 08AEH -Program Size: data=39.3 xdata=0 code=502 -creating hex file from "relay"... -"relay" - 0 Error(s), 3 Warning(s). -Build target 'Target 1' -compiling main.c... -main.c(111): warning C206: 'Delay1020sus': missing function-prototype -linking... -*** WARNING L1: UNRESOLVED EXTERNAL SYMBOL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) -*** WARNING L2: REFERENCE MADE TO UNRESOLVED EXTERNAL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) - ADDRESS: 08AEH -Program Size: data=39.3 xdata=0 code=502 -creating hex file from "relay"... -"relay" - 0 Error(s), 3 Warning(s). -Build target 'Target 1' -compiling main.c... -main.c(111): warning C206: 'Delay1020sus': missing function-prototype -linking... -*** WARNING L1: UNRESOLVED EXTERNAL SYMBOL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) -*** WARNING L2: REFERENCE MADE TO UNRESOLVED EXTERNAL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) - ADDRESS: 08EFH -Program Size: data=39.3 xdata=0 code=496 -creating hex file from "relay"... -"relay" - 0 Error(s), 3 Warning(s). -Build target 'Target 1' -compiling main.c... -main.c(112): warning C206: 'Delay1020sus': missing function-prototype -linking... -*** WARNING L1: UNRESOLVED EXTERNAL SYMBOL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) -*** WARNING L2: REFERENCE MADE TO UNRESOLVED EXTERNAL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) - ADDRESS: 08ABH -Program Size: data=39.3 xdata=0 code=499 -creating hex file from "relay"... -"relay" - 0 Error(s), 3 Warning(s). -Build target 'Target 1' -compiling main.c... -main.c(112): warning C206: 'Delay1020sus': missing function-prototype -linking... -*** WARNING L1: UNRESOLVED EXTERNAL SYMBOL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) -*** WARNING L2: REFERENCE MADE TO UNRESOLVED EXTERNAL - SYMBOL: DELAY1020SUS - MODULE: main.obj (MAIN) - ADDRESS: 08ABH -Program Size: data=39.3 xdata=0 code=499 -creating hex file from "relay"... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?_SENDWIFI?MAIN +Program Size: data=49.5 xdata=0 code=619 "relay" - 0 Error(s), 3 Warning(s). Build target 'Target 1' compiling main.c... linking... *** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=495 -creating hex file from "relay"... + SEGMENT: ?PR?_SENDWIFI?MAIN +Program Size: data=47.5 xdata=0 code=628 "relay" - 0 Error(s), 1 Warning(s). Build target 'Target 1' -compiling main.c... -linking... -*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=490 -creating hex file from "relay"... -"relay" - 0 Error(s), 1 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=495 -creating hex file from "relay"... -"relay" - 0 Error(s), 1 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=495 -creating hex file from "relay"... -"relay" - 0 Error(s), 1 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=495 -creating hex file from "relay"... -"relay" - 0 Error(s), 1 Warning(s). -compiling main.c... -main.c - 0 Error(s), 0 Warning(s). -Build target 'Target 1' linking... *** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=495 -creating hex file from "relay"... + SEGMENT: ?PR?_SENDWIFI?MAIN +Program Size: data=47.5 xdata=0 code=628 "relay" - 0 Error(s), 1 Warning(s). Build target 'Target 1' compiling main.c... -linking... -*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=495 -creating hex file from "relay"... -"relay" - 0 Error(s), 1 Warning(s). +MAIN.C(46): error C141: syntax error near '}' +MAIN.C(145): error C141: syntax error near 'int' +MAIN.C(145): error C202: 'z': undefined identifier +MAIN.C(145): error C202: 'z': undefined identifier +MAIN.C(145): error C202: 'z': undefined identifier +MAIN.C(145): error C141: syntax error near ')' +MAIN.C(226): error C141: syntax error near 'SendString' +Target not created Build target 'Target 1' compiling main.c... -linking... -*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=492 -creating hex file from "relay"... -"relay" - 0 Error(s), 1 Warning(s). +MAIN.C(46): error C141: syntax error near '}' +MAIN.C(146): error C141: syntax error near 'int' +MAIN.C(146): error C141: syntax error near ')' +MAIN.C(227): error C141: syntax error near 'SendString' +Target not created Build target 'Target 1' compiling main.c... -linking... -*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=492 -creating hex file from "relay"... -"relay" - 0 Error(s), 1 Warning(s). +MAIN.C(146): error C141: syntax error near 'int' +MAIN.C(146): error C141: syntax error near ')' +MAIN.C(227): error C141: syntax error near 'SendString' +Target not created Build target 'Target 1' compiling main.c... -linking... -*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=495 -creating hex file from "relay"... -"relay" - 0 Error(s), 1 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=490 -creating hex file from "relay"... -"relay" - 0 Error(s), 1 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=493 -creating hex file from "relay"... -"relay" - 0 Error(s), 1 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=493 -creating hex file from "relay"... -"relay" - 0 Error(s), 1 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=499 -creating hex file from "relay"... -"relay" - 0 Error(s), 1 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=499 -creating hex file from "relay"... -"relay" - 0 Error(s), 1 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=499 -creating hex file from "relay"... -"relay" - 0 Error(s), 1 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=499 -creating hex file from "relay"... -"relay" - 0 Error(s), 1 Warning(s). -Build target 'Target 1' -compiling main.c... -main.c(114): error C202: 'TXEN': undefined identifier +MAIN.C(227): error C141: syntax error near 'SendString' Target not created Build target 'Target 1' compiling main.c... linking... +Program Size: data=61.5 xdata=0 code=683 +"relay" - 0 Error(s), 0 Warning(s). +Build target 'Target 1' +compiling main.c... +linking... +Program Size: data=61.5 xdata=0 code=683 +"relay" - 0 Error(s), 0 Warning(s). +Build target 'Target 1' +linking... +Program Size: data=61.5 xdata=0 code=683 +"relay" - 0 Error(s), 0 Warning(s). +Build target 'Target 1' +compiling main.c... +linking... +Program Size: data=61.5 xdata=0 code=685 +"relay" - 0 Error(s), 0 Warning(s). +Build target 'Target 1' +compiling main.c... +linking... +Program Size: data=61.5 xdata=0 code=686 +"relay" - 0 Error(s), 0 Warning(s). +Build target 'Target 1' +compiling main.c... +linking... +Program Size: data=61.5 xdata=0 code=686 +"relay" - 0 Error(s), 0 Warning(s). +Build target 'Target 1' +compiling main.c... +linking... +Program Size: data=61.5 xdata=0 code=685 +"relay" - 0 Error(s), 0 Warning(s). +Build target 'Target 1' +linking... +Program Size: data=61.5 xdata=0 code=685 +"relay" - 0 Error(s), 0 Warning(s). +Build target 'Target 1' +compiling main.c... +linking... +Program Size: data=61.5 xdata=0 code=685 +"relay" - 0 Error(s), 0 Warning(s). +Build target 'Target 1' +compiling main.c... +linking... *** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=511 + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.5 xdata=0 code=685 +"relay" - 0 Error(s), 1 Warning(s). +Build target 'Target 1' +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.5 xdata=0 code=685 +"relay" - 0 Error(s), 1 Warning(s). +Build target 'Target 1' +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.5 xdata=0 code=685 +"relay" - 0 Error(s), 1 Warning(s). +Build target 'Target 1' +compiling main.c... +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.6 xdata=0 code=673 +"relay" - 0 Error(s), 1 Warning(s). +Build target 'Target 1' +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.6 xdata=0 code=673 creating hex file from "relay"... "relay" - 0 Error(s), 1 Warning(s). Build target 'Target 1' compiling main.c... linking... *** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=511 + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.6 xdata=0 code=673 creating hex file from "relay"... "relay" - 0 Error(s), 1 Warning(s). Build target 'Target 1' compiling main.c... linking... *** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=512 + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.6 xdata=0 code=679 creating hex file from "relay"... "relay" - 0 Error(s), 1 Warning(s). Build target 'Target 1' compiling main.c... linking... *** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=494 + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.6 xdata=0 code=679 creating hex file from "relay"... "relay" - 0 Error(s), 1 Warning(s). Build target 'Target 1' compiling main.c... linking... *** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=491 + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.6 xdata=0 code=786 +creating hex file from "relay"... +"relay" - 0 Error(s), 1 Warning(s). +Build target 'Target 1' +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.6 xdata=0 code=786 creating hex file from "relay"... "relay" - 0 Error(s), 1 Warning(s). Build target 'Target 1' compiling main.c... linking... *** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS - SEGMENT: ?PR?DELAY3020MS?MAIN -Program Size: data=39.3 xdata=0 code=488 + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.6 xdata=0 code=786 creating hex file from "relay"... "relay" - 0 Error(s), 1 Warning(s). Build target 'Target 1' compiling main.c... linking... -Program Size: data=39.3 xdata=0 code=497 +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.6 xdata=0 code=788 creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). +"relay" - 0 Error(s), 1 Warning(s). Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=39.3 xdata=0 code=497 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.3 xdata=0 code=502 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.3 xdata=0 code=502 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.3 xdata=0 code=502 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.3 xdata=0 code=502 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.3 xdata=0 code=502 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -linking... -Program Size: data=44.3 xdata=0 code=502 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.3 xdata=0 code=502 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.3 xdata=0 code=508 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.3 xdata=0 code=508 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.3 xdata=0 code=508 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.3 xdata=0 code=508 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.3 xdata=0 code=508 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -linking... -Program Size: data=44.3 xdata=0 code=508 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.3 xdata=0 code=508 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.5 xdata=0 code=587 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.5 xdata=0 code=596 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.5 xdata=0 code=596 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -linking... -Program Size: data=44.5 xdata=0 code=596 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Rebuild target 'Target 1' assembling STARTUP.A51... compiling main.c... linking... -Program Size: data=44.5 xdata=0 code=587 +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.6 xdata=0 code=796 creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.5 xdata=0 code=587 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). +"relay" - 0 Error(s), 1 Warning(s). Build target 'Target 1' linking... -Program Size: data=44.5 xdata=0 code=587 +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.6 xdata=0 code=796 creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). +"relay" - 0 Error(s), 1 Warning(s). Build target 'Target 1' -compiling main.c... linking... -Program Size: data=44.5 xdata=0 code=568 +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.6 xdata=0 code=796 creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). +"relay" - 0 Error(s), 1 Warning(s). Build target 'Target 1' compiling main.c... +MAIN.C(186): warning C260: '=': pointer truncation linking... -Program Size: data=44.3 xdata=0 code=549 +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.6 xdata=0 code=750 creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). +"relay" - 0 Error(s), 2 Warning(s). Build target 'Target 1' compiling main.c... -main.c(93): error C141: syntax error near 'sbit' -main.c(93): error C202: 'flag1': undefined identifier -main.c(94): error C141: syntax error near 'sbit' -main.c(94): error C202: 'flag2': undefined identifier -main.c(117): error C202: 'flag1': undefined identifier -main.c(118): error C202: 'flag1': undefined identifier -main.c(121): error C202: 'flag1': undefined identifier -main.c(122): error C202: 'flag1': undefined identifier +MAIN.C(187): warning C260: '=': pointer truncation +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.6 xdata=0 code=750 +creating hex file from "relay"... +"relay" - 0 Error(s), 2 Warning(s). +Build target 'Target 1' +compiling main.c... +MAIN.C(189): warning C260: '=': pointer truncation +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=61.6 xdata=0 code=759 +creating hex file from "relay"... +"relay" - 0 Error(s), 2 Warning(s). +Build target 'Target 1' +compiling main.c... +MAIN.C(184): error C202: 'false': undefined identifier +MAIN.C(193): error C141: syntax error near '}' +MAIN.C(196): error C202: 'true': undefined identifier +MAIN.C(198): error C202: 'true': undefined identifier Target not created Build target 'Target 1' compiling main.c... -main.c(93): error C141: syntax error near 'sbit' -main.c(93): error C202: 'flag2': undefined identifier -main.c(94): error C141: syntax error near 'sbit' -main.c(94): error C202: 'flag1': undefined identifier -main.c(116): error C202: 'flag1': undefined identifier -main.c(117): error C202: 'flag1': undefined identifier -main.c(120): error C202: 'flag1': undefined identifier -main.c(121): error C202: 'flag1': undefined identifier -Target not created -compiling main.c... -main.c(93): error C141: syntax error near 'sbit' -main.c(93): error C202: 'flag2': undefined identifier -main.c(94): error C141: syntax error near 'sbit' -main.c(94): error C202: 'flag1': undefined identifier -main.c(116): error C202: 'flag1': undefined identifier -main.c(117): error C202: 'flag1': undefined identifier -main.c(120): error C202: 'flag1': undefined identifier -main.c(121): error C202: 'flag1': undefined identifier -main.c - 8 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -main.c(93): error C141: syntax error near 'sbit' -main.c(93): error C202: 'flag2': undefined identifier -main.c(94): error C141: syntax error near 'sbit' -main.c(94): error C202: 'flag1': undefined identifier -main.c(116): error C202: 'flag1': undefined identifier -main.c(117): error C202: 'flag1': undefined identifier -main.c(120): error C202: 'flag1': undefined identifier -main.c(121): error C202: 'flag1': undefined identifier +MAIN.C(189): warning C260: '=': pointer truncation +MAIN.C(193): error C141: syntax error near '}' +MAIN.C(196): error C101: ''b': invalid character constant +MAIN.C(196): error C141: syntax error near ''b' +MAIN.C(196): error C141: syntax error near '1' +main.c(196): error C305: unterminated string/char const +MAIN.C(198): error C101: ''b': invalid character constant +MAIN.C(198): error C141: syntax error near ''b' +MAIN.C(198): error C141: syntax error near '0' +MAIN.C(198): error C141: syntax error near ')' +main.c(198): error C305: unterminated string/char const Target not created Build target 'Target 1' compiling main.c... -main.c(93): error C202: 'bool': undefined identifier -main.c(93): error C141: syntax error near 'flag1' -main.c(94): error C202: 'bool': undefined identifier -main.c(94): error C141: syntax error near 'flag2' -main.c(116): error C202: 'flag1': undefined identifier -main.c(117): error C202: 'flag1': undefined identifier -main.c(120): error C202: 'flag1': undefined identifier -main.c(121): error C202: 'flag1': undefined identifier +MAIN.C(189): warning C260: '=': pointer truncation +MAIN.C(193): error C141: syntax error near '}' Target not created Build target 'Target 1' compiling main.c... -main.c(94): error C202: 'bool': undefined identifier -main.c(94): error C141: syntax error near 'flag2' -main.c(120): error C202: 'flag2': undefined identifier +MAIN.C(189): warning C260: '=': pointer truncation +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=64.7 xdata=0 code=825 +creating hex file from "relay"... +"relay" - 0 Error(s), 2 Warning(s). +Build target 'Target 1' +compiling main.c... +MAIN.C(189): warning C260: '=': pointer truncation +MAIN.C(204): error C141: syntax error near ')' +MAIN.C(205): error C141: syntax error near '}' +Target not created +Build target 'Target 1' +compiling main.c... +MAIN.C(190): warning C260: '=': pointer truncation +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=66.7 xdata=0 code=874 +creating hex file from "relay"... +"relay" - 0 Error(s), 2 Warning(s). +Build target 'Target 1' +compiling main.c... +MAIN.C(116): error C202: 'recv_flag': undefined identifier +MAIN.C(120): error C202: 'recv_flag': undefined identifier +MAIN.C(191): error C202: 'recv_flag': undefined identifier +Target not created +Build target 'Target 1' +compiling main.c... +MAIN.C(190): warning C260: '=': pointer truncation +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=66.7 xdata=0 code=874 +creating hex file from "relay"... +"relay" - 0 Error(s), 2 Warning(s). +Build target 'Target 1' +compiling main.c... +MAIN.C(191): warning C260: '=': pointer truncation +MAIN.C(205): error C171: 'break': missing enclosing loop +Target not created +Build target 'Target 1' +compiling main.c... +MAIN.C(191): warning C260: '=': pointer truncation +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=67.0 xdata=0 code=898 +creating hex file from "relay"... +"relay" - 0 Error(s), 2 Warning(s). +Build target 'Target 1' +compiling main.c... +MAIN.C(191): warning C260: '=': pointer truncation +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=67.0 xdata=0 code=898 +creating hex file from "relay"... +"relay" - 0 Error(s), 2 Warning(s). +Build target 'Target 1' +compiling main.c... +MAIN.C(191): warning C260: '=': pointer truncation +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=67.0 xdata=0 code=913 +creating hex file from "relay"... +"relay" - 0 Error(s), 2 Warning(s). +Build target 'Target 1' +compiling main.c... +MAIN.C(192): warning C260: '=': pointer truncation +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=67.0 xdata=0 code=924 +creating hex file from "relay"... +"relay" - 0 Error(s), 2 Warning(s). +Build target 'Target 1' +compiling main.c... +MAIN.C(192): warning C260: '=': pointer truncation +MAIN.C(225): warning C260: '=': pointer truncation +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=67.0 xdata=0 code=946 +creating hex file from "relay"... +"relay" - 0 Error(s), 3 Warning(s). +Build target 'Target 1' +compiling main.c... +MAIN.C(195): warning C260: '=': pointer truncation +MAIN.C(228): warning C260: '=': pointer truncation +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=67.0 xdata=0 code=946 +creating hex file from "relay"... +"relay" - 0 Error(s), 3 Warning(s). +Build target 'Target 1' +compiling main.c... +MAIN.C(195): warning C260: '=': pointer truncation +MAIN.C(229): warning C260: '=': pointer truncation +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +Program Size: data=67.0 xdata=0 code=948 +creating hex file from "relay"... +"relay" - 0 Error(s), 3 Warning(s). +Build target 'Target 1' +compiling main.c... +MAIN.C(195): warning C260: '=': pointer truncation +MAIN.C(229): warning C260: '=': pointer truncation +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?_SENDWIFI?MAIN +Program Size: data=67.0 xdata=0 code=933 +creating hex file from "relay"... +"relay" - 0 Error(s), 4 Warning(s). +Build target 'Target 1' +compiling main.c... +MAIN.C(228): warning C260: '=': pointer truncation +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?_SENDWIFI?MAIN +Program Size: data=67.0 xdata=0 code=929 +creating hex file from "relay"... +"relay" - 0 Error(s), 3 Warning(s). +Build target 'Target 1' +compiling main.c... +MAIN.C(178): warning C280: 'last_byte': unreferenced local variable +MAIN.C(179): warning C280: 'sec_last_byte': unreferenced local variable +MAIN.C(180): warning C280: 'trd_last_byte': unreferenced local variable +MAIN.C(181): warning C280: 'four_last_byte': unreferenced local variable +MAIN.C(183): warning C280: 'flag_recv': unreferenced local variable +MAIN.C(184): warning C280: 'flag_need_read': unreferenced local variable +MAIN.C(185): warning C280: 'recv_cnt': unreferenced local variable +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?_SENDWIFI?MAIN +Program Size: data=67.0 xdata=0 code=692 +creating hex file from "relay"... +"relay" - 0 Error(s), 9 Warning(s). +Build target 'Target 1' +compiling main.c... +MAIN.C(179): warning C280: 'last_byte': unreferenced local variable +MAIN.C(180): warning C280: 'sec_last_byte': unreferenced local variable +MAIN.C(181): warning C280: 'trd_last_byte': unreferenced local variable +MAIN.C(182): warning C280: 'four_last_byte': unreferenced local variable +MAIN.C(184): warning C280: 'flag_recv': unreferenced local variable +MAIN.C(185): warning C280: 'flag_need_read': unreferenced local variable +MAIN.C(186): warning C280: 'recv_cnt': unreferenced local variable +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?_SENDWIFI?MAIN +Program Size: data=67.1 xdata=0 code=698 +creating hex file from "relay"... +"relay" - 0 Error(s), 9 Warning(s). +Build target 'Target 1' +compiling main.c... +linking... +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?_SENDWIFI?MAIN +Program Size: data=61.7 xdata=0 code=691 +creating hex file from "relay"... +"relay" - 0 Error(s), 2 Warning(s). +Build target 'Target 1' +compiling main.c... +MAIN.C(163): error C202: 'connected_flag': undefined identifier +MAIN.C(182): error C202: 'index': undefined identifier +MAIN.C(183): error C202: 'index': undefined identifier +Target not created +Build target 'Target 1' +compiling main.c... +MAIN.C(40): error C129: missing ';' before 'connected_flag' Target not created Build target 'Target 1' compiling main.c... linking... -Program Size: data=44.5 xdata=0 code=575 +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?_SENDWIFI?MAIN +Program Size: data=60.7 xdata=0 code=688 creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). +"relay" - 0 Error(s), 2 Warning(s). Build target 'Target 1' compiling main.c... linking... -Program Size: data=44.5 xdata=0 code=575 +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?_SENDWIFI?MAIN +Program Size: data=60.7 xdata=0 code=688 creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -linking... -Program Size: data=44.5 xdata=0 code=575 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). +"relay" - 0 Error(s), 2 Warning(s). Build target 'Target 1' compiling main.c... +MAIN.C(229): warning C260: '=': pointer truncation linking... -Program Size: data=44.5 xdata=0 code=596 +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?_SENDWIFI?MAIN +Program Size: data=67.0 xdata=0 code=932 creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). +"relay" - 0 Error(s), 3 Warning(s). Build target 'Target 1' compiling main.c... +MAIN.C(215): warning C260: '=': pointer truncation +MAIN.C(185): warning C280: 'flag_recv': unreferenced local variable linking... -Program Size: data=44.5 xdata=0 code=597 +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?_SENDWIFI?MAIN +Program Size: data=67.0 xdata=0 code=877 creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). +"relay" - 0 Error(s), 4 Warning(s). Build target 'Target 1' linking... -Program Size: data=44.5 xdata=0 code=597 +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?DELAY500MS?MAIN +*** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESS + SEGMENT: ?PR?_SENDWIFI?MAIN +Program Size: data=67.0 xdata=0 code=877 creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). +"relay" - 0 Error(s), 2 Warning(s). Build target 'Target 1' compiling main.c... -linking... -Program Size: data=44.5 xdata=0 code=603 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). +MAIN.C(195): error C202: 'sec_last_byte': undefined identifier +MAIN.C(197): error C202: 'trd_last_byte': undefined identifier +MAIN.C(198): error C202: 'four_last_byte': undefined identifier +MAIN.C(201): error C202: 'sec_last_byte': undefined identifier +MAIN.C(215): error C202: 'sec_last_byte': undefined identifier +MAIN.C(217): error C202: 'trd_last_byte': undefined identifier +MAIN.C(218): error C202: 'four_last_byte': undefined identifier +Target not created Build target 'Target 1' compiling main.c... -linking... -Program Size: data=44.5 xdata=0 code=577 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.5 xdata=0 code=605 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -linking... -Program Size: data=44.5 xdata=0 code=605 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.5 xdata=0 code=601 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.5 xdata=0 code=603 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -compiling main.c... -linking... -Program Size: data=44.5 xdata=0 code=588 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). -Build target 'Target 1' -linking... -Program Size: data=44.5 xdata=0 code=588 -creating hex file from "relay"... -"relay" - 0 Error(s), 0 Warning(s). +MAIN.C(195): error C202: 'sec_last_byte': undefined identifier +MAIN.C(197): error C202: 'trd_last_byte': undefined identifier +MAIN.C(198): error C202: 'four_last_byte': undefined identifier +MAIN.C(203): error C202: 'sec_last_byte': undefined identifier +MAIN.C(219): error C202: 'sec_last_byte': undefined identifier +MAIN.C(221): error C202: 'trd_last_byte': undefined identifier +MAIN.C(222): error C202: 'four_last_byte': undefined identifier +Target not created diff --git a/c51/relay_controller/relay.uvgui.Administrator b/c51/relay_controller/relay.uvgui.Administrator deleted file mode 100644 index 8ba6928..0000000 --- a/c51/relay_controller/relay.uvgui.Administrator +++ /dev/null @@ -1,1412 +0,0 @@ - - - - -4.1 - -
### uVision Project, (C) Keil Software
- - - - - - 38003 - Registers - 115 117 - - - 346 - Code Coverage - 636 160 - - - 204 - Performance Analyzer - 796 - - - - - - 1506 - Symbols - - 133 133 133 - - - 1936 - Watch 1 - - 133 133 133 - - - 1937 - Watch 2 - - 133 133 133 - - - 1935 - Call Stack + Locals - - 133 133 133 - - - 2506 - Trace Data - - 75 135 130 95 70 230 200 - - - - - 1938 - Run Time Environment - - 0 250 30 60 60 500 -1 21844 -21846 - - - - - 1 - 1 - 0 - - - - - - - 44 - 2 - 3 - - -32000 - -32040 - - - -1 - -1 - - - 200 - 200 - 1280 - 825 - - - - 0 - - 358 - 01000000040000000100000001000000010000000100000000000000020000000000000001000000010000000000000028000000280000000100000003000000000000000100000022443A5C70726F6A6563745C72656C61795F636F6E74726F6C6C65725C6D61696E2E6300000000066D61696E2E6300000000FFDC7800FFFFFFFF27443A5C70726F6A6563745C72656C61795F636F6E74726F6C6C65725C535441525455502E413531000000000B535441525455502E41353100000000BECEA100FFFFFFFF23443A5C70726F6A6563745C72656C61795F636F6E74726F6C6C65725C53544331312E68000000000753544331312E6800000000BECEA100FFFFFFFF0100000010000000C5D4F200FFDC7800BECEA100F0A0A100BCA8E1009CC1B600F7B88600D9ADC200A5C2D700B3A6BE00EAD6A300F6FA7D00B5E99D005FC3CF00C1838300CACAD500010000000000000002000000F60000008D00000000050000CF020000 - - - - 0 - Build - - -1 - -1 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 8A0000004F000000B0030000D7000000 - - - 16 - DD000000E00000000304000068010000 - - - - 1005 - 1005 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000EF00000078020000 - - - 16 - 210000005F000000A70000007F020000 - - - - 109 - 109 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000EF00000078020000 - - - 16 - 210000005F000000A70000007F020000 - - - - 1465 - 1465 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000010020000AD0300007C020000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 1466 - 1466 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000010020000AD0300007C020000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 1467 - 1467 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000010020000AD0300007C020000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 1468 - 1468 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000010020000AD0300007C020000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 1506 - 1506 - 0 - 0 - 0 - 0 - 32767 - 0 - 16384 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 1913 - 1913 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 8D00000066000000AD030000BE000000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 1935 - 1935 - 0 - 0 - 0 - 0 - 32767 - 0 - 32768 - 0 - - 16 - 0300000010020000AD0300007C020000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 1936 - 1936 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000010020000AD0300007C020000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 1937 - 1937 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000010020000AD0300007C020000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 1938 - 1938 - 0 - 0 - 0 - 0 - 32767 - 0 - 16384 - 0 - - 16 - 00000000000000000D01000020020000 - - - 16 - 210000005F0000002E0100007F020000 - - - - 1939 - 1939 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000010020000AD0300007C020000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 1940 - 1940 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000010020000AD0300007C020000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 1941 - 1941 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000010020000AD0300007C020000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 1942 - 1942 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000010020000AD0300007C020000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 195 - 195 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000EF00000078020000 - - - 16 - 210000005F000000A70000007F020000 - - - - 196 - 196 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000EF00000078020000 - - - 16 - 210000005F000000A70000007F020000 - - - - 197 - 197 - 1 - 0 - 0 - 0 - 32767 - 0 - 32768 - 0 - - 16 - 00000000A902000000050000AF030000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 198 - 198 - 0 - 0 - 0 - 0 - 32767 - 0 - 32768 - 0 - - 16 - 00000000F9010000B003000095020000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 199 - 199 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000AC020000FD04000096030000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 203 - 203 - 0 - 0 - 0 - 0 - 32767 - 0 - 8192 - 0 - - 16 - 8D00000066000000AD030000BE000000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 204 - 204 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 8D00000066000000AD030000BE000000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 221 - 221 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 00000000000000000000000000000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 2506 - 2506 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 2507 - 2507 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000010020000AD0300007C020000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 343 - 343 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 8D00000066000000AD030000BE000000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 346 - 346 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 8D00000066000000AD030000BE000000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 35824 - 35824 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 8D00000066000000AD030000BE000000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 35885 - 35885 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35886 - 35886 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35887 - 35887 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35888 - 35888 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35889 - 35889 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35890 - 35890 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35891 - 35891 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35892 - 35892 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35893 - 35893 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35894 - 35894 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35895 - 35895 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35896 - 35896 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35897 - 35897 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35898 - 35898 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35899 - 35899 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35900 - 35900 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35901 - 35901 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35902 - 35902 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35903 - 35903 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35904 - 35904 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 35905 - 35905 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 2302000066000000AD030000F0010000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 38003 - 38003 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000066000000EF00000078020000 - - - 16 - 210000005F000000A70000007F020000 - - - - 38007 - 38007 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000AC020000FD04000096030000 - - - 16 - 210000005F000000F9010000E7000000 - - - - 436 - 436 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 03000000AC020000FD04000096030000 - - - 16 - 210000005F000000A70000007F020000 - - - - 437 - 437 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000010020000AD0300007C020000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 440 - 440 - 0 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 0300000010020000AD0300007C020000 - - - 16 - 210000005F000000B1010000EF010000 - - - - 59392 - 59392 - 1 - 0 - 0 - 0 - 940 - 0 - 8192 - 0 - - 16 - 0000000000000000B70300001C000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 59393 - 0 - 1 - 0 - 0 - 0 - 32767 - 0 - 4096 - 0 - - 16 - 00000000AF03000000050000C2030000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 59399 - 59399 - 1 - 0 - 0 - 0 - 439 - 0 - 8192 - 1 - - 16 - 000000001C000000C201000038000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 59400 - 59400 - 0 - 0 - 0 - 0 - 612 - 0 - 8192 - 2 - - 16 - 00000000380000006F02000054000000 - - - 16 - 0A0000000A0000006E0000006E000000 - - - - 2715 - 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 - - - 59392 - File - - 2125 - 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 - - - 1423 - 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 - - - 1423 - 2800FFFF01001100434D4643546F6F6C426172427574746F6E00E100000000000000000000000000000000000000000000000100000001000000018001E100000000000001000000000000000000000000000000000100000001000000018003E1000000000000020000000000000000000000000000000001000000010000000180CD7F0000000000000300000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF000000000000000000000000000100000001000000018023E100000000000004000000000000000000000000000000000100000001000000018022E100000000000005000000000000000000000000000000000100000001000000018025E10000000000000600000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF00000000000000000000000000010000000100000001802BE10000000000000700000000000000000000000000000000010000000100000001802CE10000000000000800000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF00000000000000000000000000010000000100000001807A8A0000000000000900000000000000000000000000000000010000000100000001807B8A0000000000000A00000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180D3B00000000000000B000000000000000000000000000000000100000001000000018015B10000000000000C0000000000000000000000000000000001000000010000000180F4B00000000000000D000000000000000000000000000000000100000001000000018036B10000000000000E00000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180FF880000000000000F0000000000000000000000000000000001000000010000000180FE880000000000001000000000000000000000000000000000010000000100000001800B810000000000001100000000000000000000000000000000010000000100000001800C810000000000001200000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180F088000000000000130000000000000000000000000000000001000000010000000180EE7F00000000000014000000000000000000000000000000000100000001000000018024E10000000000001500000000000000000000000000000000010000000100000001800A810000000000001600000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF000000000000000000000000000100000001000000018022800000000000001700000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180C488000000000000180000000000000000000000000000000001000000010000000180C988000000000000190000000000000000000000000000000001000000010000000180C7880000000000001A0000000000000000000000000000000001000000010000000180C8880000000000001B00000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180DD880000000000001C00000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180FB7F0000000000001D000000000000000000000000000000000100000001000000 - - - - 59399 - Build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ebug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project\relay_controller\STC11.h - 0 - 28 - 46 - - - - - 1 - 0 - - 100 - 0 - - .\main.c - 0 - 40 - 132 - 1 - - 0 - - - .\STARTUP.A51 - 0 - 1 - 1 - 1 - - 0 - - - STC11.h - 28 - 28 - 46 - 1 - - 0 - - - - -
diff --git a/nuvoton/m451/roboticarm_controller/Listings/roboticarm_controller.map b/nuvoton/m451/roboticarm_controller/Listings/roboticarm_controller.map index d08d5fa..b8124f4 100644 --- a/nuvoton/m451/roboticarm_controller/Listings/roboticarm_controller.map +++ b/nuvoton/m451/roboticarm_controller/Listings/roboticarm_controller.map @@ -552,16 +552,16 @@ Image Symbol Table ../fplib/fpinit.s 0x00000000 Number 0 fpinit.o ABSOLUTE 24l01.c 0x00000000 Number 0 24l01.o ABSOLUTE 24l01.c 0x00000000 Number 0 24l01.o ABSOLUTE - D:\\programs\\mdk\\ARM\\PACK\\Nuvoton\\NuMicro_DFP\\1.2.0\\Device\\M451\\Driver\\clk.c 0x00000000 Number 0 clk.o ABSOLUTE - D:\\programs\\mdk\\ARM\\PACK\\Nuvoton\\NuMicro_DFP\\1.2.0\\Device\\M451\\Driver\\gpio.c 0x00000000 Number 0 gpio.o ABSOLUTE - D:\\programs\\mdk\\ARM\\PACK\\Nuvoton\\NuMicro_DFP\\1.2.0\\Device\\M451\\Driver\\pwm.c 0x00000000 Number 0 pwm.o ABSOLUTE - D:\\programs\\mdk\\ARM\\PACK\\Nuvoton\\NuMicro_DFP\\1.2.0\\Device\\M451\\Driver\\spi.c 0x00000000 Number 0 spi.o ABSOLUTE - D:\\programs\\mdk\\ARM\\PACK\\Nuvoton\\NuMicro_DFP\\1.2.0\\Device\\M451\\Driver\\sys.c 0x00000000 Number 0 sys.o ABSOLUTE - D:\programs\mdk\ARM\PACK\Nuvoton\NuMicro_DFP\1.2.0\Device\M451\Driver\clk.c 0x00000000 Number 0 clk.o ABSOLUTE - D:\programs\mdk\ARM\PACK\Nuvoton\NuMicro_DFP\1.2.0\Device\M451\Driver\gpio.c 0x00000000 Number 0 gpio.o ABSOLUTE - D:\programs\mdk\ARM\PACK\Nuvoton\NuMicro_DFP\1.2.0\Device\M451\Driver\pwm.c 0x00000000 Number 0 pwm.o ABSOLUTE - D:\programs\mdk\ARM\PACK\Nuvoton\NuMicro_DFP\1.2.0\Device\M451\Driver\spi.c 0x00000000 Number 0 spi.o ABSOLUTE - D:\programs\mdk\ARM\PACK\Nuvoton\NuMicro_DFP\1.2.0\Device\M451\Driver\sys.c 0x00000000 Number 0 sys.o ABSOLUTE + D:\\keil_arm_pack\\Nuvoton\\NuMicro_DFP\\1.2.0\\Device\\M451\\Driver\\clk.c 0x00000000 Number 0 clk.o ABSOLUTE + D:\\keil_arm_pack\\Nuvoton\\NuMicro_DFP\\1.2.0\\Device\\M451\\Driver\\gpio.c 0x00000000 Number 0 gpio.o ABSOLUTE + D:\\keil_arm_pack\\Nuvoton\\NuMicro_DFP\\1.2.0\\Device\\M451\\Driver\\pwm.c 0x00000000 Number 0 pwm.o ABSOLUTE + D:\\keil_arm_pack\\Nuvoton\\NuMicro_DFP\\1.2.0\\Device\\M451\\Driver\\spi.c 0x00000000 Number 0 spi.o ABSOLUTE + D:\\keil_arm_pack\\Nuvoton\\NuMicro_DFP\\1.2.0\\Device\\M451\\Driver\\sys.c 0x00000000 Number 0 sys.o ABSOLUTE + D:\keil_arm_pack\Nuvoton\NuMicro_DFP\1.2.0\Device\M451\Driver\clk.c 0x00000000 Number 0 clk.o ABSOLUTE + D:\keil_arm_pack\Nuvoton\NuMicro_DFP\1.2.0\Device\M451\Driver\gpio.c 0x00000000 Number 0 gpio.o ABSOLUTE + D:\keil_arm_pack\Nuvoton\NuMicro_DFP\1.2.0\Device\M451\Driver\pwm.c 0x00000000 Number 0 pwm.o ABSOLUTE + D:\keil_arm_pack\Nuvoton\NuMicro_DFP\1.2.0\Device\M451\Driver\spi.c 0x00000000 Number 0 spi.o ABSOLUTE + D:\keil_arm_pack\Nuvoton\NuMicro_DFP\1.2.0\Device\M451\Driver\sys.c 0x00000000 Number 0 sys.o ABSOLUTE RTE\Device\M453VG6AE\retarget.c 0x00000000 Number 0 retarget.o ABSOLUTE RTE\Device\M453VG6AE\startup_M451Series.s 0x00000000 Number 0 startup_m451series.o ABSOLUTE RTE\Device\M453VG6AE\system_M451Series.c 0x00000000 Number 0 system_m451series.o ABSOLUTE @@ -679,27 +679,27 @@ Image Symbol Table i.SystemCoreClockUpdate 0x0000130c Section 0 system_m451series.o(i.SystemCoreClockUpdate) i.SystemInit 0x00001370 Section 0 system_m451series.o(i.SystemInit) i.__NVIC_EnableIRQ 0x000013e4 Section 0 main.o(i.__NVIC_EnableIRQ) - __NVIC_EnableIRQ 0x000013e5 Thumb Code 26 main.o(i.__NVIC_EnableIRQ) - i._is_digit 0x000013fe Section 0 __printf_wp.o(i._is_digit) - i.delayAny 0x0000140c Section 0 spi_hal.o(i.delayAny) - i.delay_s 0x0000141a Section 0 24l01.o(i.delay_s) - i.ferror 0x00001428 Section 0 retarget.o(i.ferror) - i.fputc 0x00001430 Section 0 retarget.o(i.fputc) - i.main 0x00001440 Section 0 main.o(i.main) - i.nrf_read 0x0000147c Section 0 spi_hal.o(i.nrf_read) - i.nrf_write 0x00001498 Section 0 spi_hal.o(i.nrf_write) - i.nrf_writebuf 0x000014b6 Section 0 spi_hal.o(i.nrf_writebuf) - i.spi_disable 0x000014e4 Section 0 spi_hal.o(i.spi_disable) - i.spi_enable 0x000014f8 Section 0 spi_hal.o(i.spi_enable) - i.spi_read 0x0000150c Section 0 spi_hal.o(i.spi_read) - i.spi_send 0x0000152c Section 0 spi_hal.o(i.spi_send) - i.stackDump 0x0000154c Section 0 retarget.o(i.stackDump) - stackDump 0x0000154d Thumb Code 70 retarget.o(i.stackDump) - x$fpl$fpinit 0x000015f4 Section 10 fpinit.o(x$fpl$fpinit) - $v0 0x000015f4 Number 0 fpinit.o(x$fpl$fpinit) - .constdata 0x000015fe Section 40 _printf_hex_int.o(.constdata) - uc_hextab 0x000015fe Data 20 _printf_hex_int.o(.constdata) - lc_hextab 0x00001612 Data 20 _printf_hex_int.o(.constdata) + __NVIC_EnableIRQ 0x000013e5 Thumb Code 34 main.o(i.__NVIC_EnableIRQ) + i._is_digit 0x00001406 Section 0 __printf_wp.o(i._is_digit) + i.delayAny 0x00001414 Section 0 spi_hal.o(i.delayAny) + i.delay_s 0x00001422 Section 0 24l01.o(i.delay_s) + i.ferror 0x00001430 Section 0 retarget.o(i.ferror) + i.fputc 0x00001438 Section 0 retarget.o(i.fputc) + i.main 0x00001448 Section 0 main.o(i.main) + i.nrf_read 0x00001484 Section 0 spi_hal.o(i.nrf_read) + i.nrf_write 0x000014a0 Section 0 spi_hal.o(i.nrf_write) + i.nrf_writebuf 0x000014be Section 0 spi_hal.o(i.nrf_writebuf) + i.spi_disable 0x000014ec Section 0 spi_hal.o(i.spi_disable) + i.spi_enable 0x00001500 Section 0 spi_hal.o(i.spi_enable) + i.spi_read 0x00001514 Section 0 spi_hal.o(i.spi_read) + i.spi_send 0x00001534 Section 0 spi_hal.o(i.spi_send) + i.stackDump 0x00001554 Section 0 retarget.o(i.stackDump) + stackDump 0x00001555 Thumb Code 70 retarget.o(i.stackDump) + x$fpl$fpinit 0x000015fc Section 10 fpinit.o(x$fpl$fpinit) + $v0 0x000015fc Number 0 fpinit.o(x$fpl$fpinit) + .constdata 0x00001606 Section 40 _printf_hex_int.o(.constdata) + uc_hextab 0x00001606 Data 20 _printf_hex_int.o(.constdata) + lc_hextab 0x0000161a Data 20 _printf_hex_int.o(.constdata) .data 0x20000000 Section 12 main.o(.data) .data 0x2000000c Section 21 24l01.o(.data) ifinit 0x20000020 Data 1 24l01.o(.data) @@ -923,24 +923,24 @@ Image Symbol Table Spi_init 0x00001269 Thumb Code 138 spi_hal.o(i.Spi_init) SystemCoreClockUpdate 0x0000130d Thumb Code 74 system_m451series.o(i.SystemCoreClockUpdate) SystemInit 0x00001371 Thumb Code 104 system_m451series.o(i.SystemInit) - _is_digit 0x000013ff Thumb Code 14 __printf_wp.o(i._is_digit) - delayAny 0x0000140d Thumb Code 14 spi_hal.o(i.delayAny) - delay_s 0x0000141b Thumb Code 14 24l01.o(i.delay_s) - ferror 0x00001429 Thumb Code 8 retarget.o(i.ferror) - fputc 0x00001431 Thumb Code 16 retarget.o(i.fputc) - main 0x00001441 Thumb Code 56 main.o(i.main) - nrf_read 0x0000147d Thumb Code 28 spi_hal.o(i.nrf_read) - nrf_write 0x00001499 Thumb Code 30 spi_hal.o(i.nrf_write) - nrf_writebuf 0x000014b7 Thumb Code 46 spi_hal.o(i.nrf_writebuf) - spi_disable 0x000014e5 Thumb Code 14 spi_hal.o(i.spi_disable) - spi_enable 0x000014f9 Thumb Code 14 spi_hal.o(i.spi_enable) - spi_read 0x0000150d Thumb Code 28 spi_hal.o(i.spi_read) - spi_send 0x0000152d Thumb Code 28 spi_hal.o(i.spi_send) - _fp_init 0x000015f5 Thumb Code 10 fpinit.o(x$fpl$fpinit) - __fplib_config_fpu_vfp 0x000015fd Thumb Code 0 fpinit.o(x$fpl$fpinit) - __fplib_config_pureend_doubles 0x000015fd Thumb Code 0 fpinit.o(x$fpl$fpinit) - Region$$Table$$Base 0x00001628 Number 0 anon$$obj.o(Region$$Table) - Region$$Table$$Limit 0x00001648 Number 0 anon$$obj.o(Region$$Table) + _is_digit 0x00001407 Thumb Code 14 __printf_wp.o(i._is_digit) + delayAny 0x00001415 Thumb Code 14 spi_hal.o(i.delayAny) + delay_s 0x00001423 Thumb Code 14 24l01.o(i.delay_s) + ferror 0x00001431 Thumb Code 8 retarget.o(i.ferror) + fputc 0x00001439 Thumb Code 16 retarget.o(i.fputc) + main 0x00001449 Thumb Code 56 main.o(i.main) + nrf_read 0x00001485 Thumb Code 28 spi_hal.o(i.nrf_read) + nrf_write 0x000014a1 Thumb Code 30 spi_hal.o(i.nrf_write) + nrf_writebuf 0x000014bf Thumb Code 46 spi_hal.o(i.nrf_writebuf) + spi_disable 0x000014ed Thumb Code 14 spi_hal.o(i.spi_disable) + spi_enable 0x00001501 Thumb Code 14 spi_hal.o(i.spi_enable) + spi_read 0x00001515 Thumb Code 28 spi_hal.o(i.spi_read) + spi_send 0x00001535 Thumb Code 28 spi_hal.o(i.spi_send) + _fp_init 0x000015fd Thumb Code 10 fpinit.o(x$fpl$fpinit) + __fplib_config_fpu_vfp 0x00001605 Thumb Code 0 fpinit.o(x$fpl$fpinit) + __fplib_config_pureend_doubles 0x00001605 Thumb Code 0 fpinit.o(x$fpl$fpinit) + Region$$Table$$Base 0x00001630 Number 0 anon$$obj.o(Region$$Table) + Region$$Table$$Limit 0x00001650 Number 0 anon$$obj.o(Region$$Table) Axis1 0x20000000 Data 2 main.o(.data) Axis2 0x20000002 Data 2 main.o(.data) Axis3 0x20000004 Data 2 main.o(.data) @@ -972,9 +972,9 @@ Memory Map of the image Image Entry point : 0x00000141 - Load Region LR_IROM1 (Base: 0x00000000, Size: 0x000016a0, Max: 0x00040000, ABSOLUTE) + Load Region LR_IROM1 (Base: 0x00000000, Size: 0x000016a8, Max: 0x00040000, ABSOLUTE) - Execution Region ER_IROM1 (Exec base: 0x00000000, Load base: 0x00000000, Size: 0x00001648, Max: 0x00040000, ABSOLUTE) + Execution Region ER_IROM1 (Exec base: 0x00000000, Load base: 0x00000000, Size: 0x00001650, Max: 0x00040000, ABSOLUTE) Exec Addr Load Addr Size Type Attr Idx E Section Name Object @@ -1079,36 +1079,36 @@ Memory Map of the image 0x00001268 0x00001268 0x000000a4 Code RO 289 i.Spi_init spi_hal.o 0x0000130c 0x0000130c 0x00000064 Code RO 1395 i.SystemCoreClockUpdate system_m451series.o 0x00001370 0x00001370 0x00000074 Code RO 1396 i.SystemInit system_m451series.o - 0x000013e4 0x000013e4 0x0000001a Code RO 8 i.__NVIC_EnableIRQ main.o - 0x000013fe 0x000013fe 0x0000000e Code RO 1474 i._is_digit c_w.l(__printf_wp.o) - 0x0000140c 0x0000140c 0x0000000e Code RO 290 i.delayAny spi_hal.o - 0x0000141a 0x0000141a 0x0000000e Code RO 188 i.delay_s 24l01.o - 0x00001428 0x00001428 0x00000008 Code RO 1278 i.ferror retarget.o - 0x00001430 0x00001430 0x00000010 Code RO 1280 i.fputc retarget.o - 0x00001440 0x00001440 0x0000003c Code RO 10 i.main main.o - 0x0000147c 0x0000147c 0x0000001c Code RO 291 i.nrf_read spi_hal.o - 0x00001498 0x00001498 0x0000001e Code RO 293 i.nrf_write spi_hal.o - 0x000014b6 0x000014b6 0x0000002e Code RO 294 i.nrf_writebuf spi_hal.o - 0x000014e4 0x000014e4 0x00000014 Code RO 295 i.spi_disable spi_hal.o - 0x000014f8 0x000014f8 0x00000014 Code RO 296 i.spi_enable spi_hal.o - 0x0000150c 0x0000150c 0x00000020 Code RO 297 i.spi_read spi_hal.o - 0x0000152c 0x0000152c 0x00000020 Code RO 298 i.spi_send spi_hal.o - 0x0000154c 0x0000154c 0x000000a8 Code RO 1282 i.stackDump retarget.o - 0x000015f4 0x000015f4 0x0000000a Code RO 1586 x$fpl$fpinit fz_wm.l(fpinit.o) - 0x000015fe 0x000015fe 0x00000028 Data RO 1447 .constdata c_w.l(_printf_hex_int.o) - 0x00001626 0x00001626 0x00000002 PAD - 0x00001628 0x00001628 0x00000020 Data RO 1652 Region$$Table anon$$obj.o + 0x000013e4 0x000013e4 0x00000022 Code RO 8 i.__NVIC_EnableIRQ main.o + 0x00001406 0x00001406 0x0000000e Code RO 1474 i._is_digit c_w.l(__printf_wp.o) + 0x00001414 0x00001414 0x0000000e Code RO 290 i.delayAny spi_hal.o + 0x00001422 0x00001422 0x0000000e Code RO 188 i.delay_s 24l01.o + 0x00001430 0x00001430 0x00000008 Code RO 1278 i.ferror retarget.o + 0x00001438 0x00001438 0x00000010 Code RO 1280 i.fputc retarget.o + 0x00001448 0x00001448 0x0000003c Code RO 10 i.main main.o + 0x00001484 0x00001484 0x0000001c Code RO 291 i.nrf_read spi_hal.o + 0x000014a0 0x000014a0 0x0000001e Code RO 293 i.nrf_write spi_hal.o + 0x000014be 0x000014be 0x0000002e Code RO 294 i.nrf_writebuf spi_hal.o + 0x000014ec 0x000014ec 0x00000014 Code RO 295 i.spi_disable spi_hal.o + 0x00001500 0x00001500 0x00000014 Code RO 296 i.spi_enable spi_hal.o + 0x00001514 0x00001514 0x00000020 Code RO 297 i.spi_read spi_hal.o + 0x00001534 0x00001534 0x00000020 Code RO 298 i.spi_send spi_hal.o + 0x00001554 0x00001554 0x000000a8 Code RO 1282 i.stackDump retarget.o + 0x000015fc 0x000015fc 0x0000000a Code RO 1586 x$fpl$fpinit fz_wm.l(fpinit.o) + 0x00001606 0x00001606 0x00000028 Data RO 1447 .constdata c_w.l(_printf_hex_int.o) + 0x0000162e 0x0000162e 0x00000002 PAD + 0x00001630 0x00001630 0x00000020 Data RO 1652 Region$$Table anon$$obj.o - Execution Region RW_IRAM1 (Exec base: 0x20000000, Load base: 0x00001648, Size: 0x000004f8, Max: 0x00008000, ABSOLUTE) + Execution Region RW_IRAM1 (Exec base: 0x20000000, Load base: 0x00001650, Size: 0x000004f8, Max: 0x00008000, ABSOLUTE) Exec Addr Load Addr Size Type Attr Idx E Section Name Object - 0x20000000 0x00001648 0x0000000c Data RW 11 .data main.o - 0x2000000c 0x00001654 0x00000015 Data RW 190 .data 24l01.o - 0x20000021 0x00001669 0x00000003 PAD - 0x20000024 0x0000166c 0x00000008 Data RW 1283 .data retarget.o - 0x2000002c 0x00001674 0x0000002c Data RW 1397 .data system_m451series.o + 0x20000000 0x00001650 0x0000000c Data RW 11 .data main.o + 0x2000000c 0x0000165c 0x00000015 Data RW 190 .data 24l01.o + 0x20000021 0x00001671 0x00000003 PAD + 0x20000024 0x00001674 0x00000008 Data RW 1283 .data retarget.o + 0x2000002c 0x0000167c 0x0000002c Data RW 1397 .data system_m451series.o 0x20000058 - 0x00000040 Zero RW 189 .bss 24l01.o 0x20000098 - 0x00000060 Zero RW 1528 .bss c_w.l(libspace.o) 0x200000f8 - 0x00000000 Zero RW 1385 HEAP startup_m451series.o @@ -1122,21 +1122,21 @@ Image component sizes Code (inc. data) RO Data RW Data ZI Data Debug Object Name - 544 58 0 21 64 5758 24l01.o - 144 10 0 0 0 16279 clk.o - 44 0 0 0 0 1223 gpio.o - 368 40 0 0 0 1144 interrupt.o - 666 58 0 12 0 239075 main.o - 532 30 0 0 0 5564 pwm.o - 324 132 0 8 0 5801 retarget.o - 782 42 0 0 0 3315 spi.o - 386 46 0 0 0 4745 spi_hal.o - 116 36 320 0 1024 936 startup_m451series.o - 48 0 0 0 0 574 sys.o - 316 54 0 44 0 33267 system_m451series.o + 544 58 0 21 64 5882 24l01.o + 144 10 0 0 0 16215 clk.o + 44 0 0 0 0 1183 gpio.o + 368 40 0 0 0 1168 interrupt.o + 674 58 0 12 0 209316 main.o + 532 30 0 0 0 5428 pwm.o + 324 132 0 8 0 5893 retarget.o + 782 42 0 0 0 3243 spi.o + 386 46 0 0 0 4865 spi_hal.o + 116 36 320 0 1024 948 startup_m451series.o + 48 0 0 0 0 558 sys.o + 316 54 0 44 0 33295 system_m451series.o ---------------------------------------------------------------------- - 4276 506 352 88 1088 317681 Object Totals + 4284 506 352 88 1088 287994 Object Totals 0 0 32 0 0 0 (incl. Generated) 6 0 0 3 0 0 (incl. Padding) @@ -1197,15 +1197,15 @@ Image component sizes Code (inc. data) RO Data RW Data ZI Data Debug - 5310 540 394 88 1184 314181 Grand Totals - 5310 540 394 88 1184 314181 ELF Image Totals - 5310 540 394 88 0 0 ROM Totals + 5318 540 394 88 1184 284494 Grand Totals + 5318 540 394 88 1184 284494 ELF Image Totals + 5318 540 394 88 0 0 ROM Totals ============================================================================== - Total RO Size (Code + RO Data) 5704 ( 5.57kB) + Total RO Size (Code + RO Data) 5712 ( 5.58kB) Total RW Size (RW Data + ZI Data) 1272 ( 1.24kB) - Total ROM Size (Code + RO Data + RW Data) 5792 ( 5.66kB) + Total ROM Size (Code + RO Data + RW Data) 5800 ( 5.66kB) ============================================================================== diff --git a/nuvoton/m451/roboticarm_controller/Objects/roboticarm_controller.axf b/nuvoton/m451/roboticarm_controller/Objects/roboticarm_controller.axf index 2dc36dbc0ec8f9556d3cf4e6043c6832d791d85f..4873cf65412b17a11bcd340f98774fc843041b02 100644 GIT binary patch delta 28401 zcmc(I3w%`7)$iVOX7YdpCPRRLahN=K#Sjt*8Y)8GWF(JaCNDG^LJ}Y!AqjaPSar}M zQj3Zn>!4CaKe4EwVDK%9ib_9eg?{>7Du`lHQK=19i={m7f9v`iJF@2uRUwuR@QSiy={l9ux-cW z?%B2u3olaN4nM&g)g2L+WQ;zORmgg#omo-HlC7Vzp0Q`fRm_dw_3ZZC9}lpes59Ax zJC63;%vjrVw!&~1>v>-t5jnyC&S_Hm{g?Fb@h=B4=CVIW+|8%0g$adYarfNmvk8as zy$#==;d{$z<{Ivfm}{Yb+gQ*1)3(H+?sRaT!CkC71$R%L<_QV-4&XZx-|Fd+3CZ}r z>vVJxUp5+dx1S!IFvi8=dRCntl;8s4meZ^!=QMY5sJ8D|rY;#gq`N2bq}Bh$N!^ma zp42S)2H#}KmnWHP1jIT03rjYfv?UG~mVAY~VZxFlCu2m-`%Y>W{T|s@QO~OW2ayK{TWwb`i|9GT?q>d&z$(-g}qXHO)ZK6|3} zpJAUaM2Am4X@7~nKUANHJv?>InV1cA3(uTbea5lj5qz&aGi1YIe6KhYyCI?J%!wP$ z4Bb$N?`3C(ZFmIVoo9w`IE?Q$^{t`z$9RS@x?I)x=LXK$rtZbVZi^fg1-J*FBSRQV zWa@XLt{hQ>0L%g@d{EAiW%wqMdr=}$ZFD_V7Yi<;fZCQw5wniQuD*|4hJ3GYLMy_~kB77o@WYKF|1c z4QhqoWqgK)wbFlLJX^zB@vj)q5!z~-8|ylR4!;8i%nTtKW)y`G4P)FPM8l*jwK{@I z$*_`8qG4WXD3!GOnFCgqg^~?hJz8b+rK#kMiXchT30h^4X4qL3q#0&b%SsG=sQ7As z2vO4rYE1~y@Z>ciM8m{uMLlhu?Xt5PH6clWs`)}-aosZ6egBalx=#|mVv&4Nf{2s# z>W=YuMzwS;ZS8Drsac#kB|}a4&G4|~F63xMom*L;ZcefD->Pp^51BERTaqwJ94DBk zwsu}uQ*&oiOYPFS+UBMXL`4)w_>MnhsjV$^7Z)(Ab75UeLvtf@oMu#P$gkDGms~b_ z4ur&YBrzDO`9G^!M4?*OzPPrnu6|JHqB2*s0^Q(EgTB*N|C{K!C!0CZUd z|2i6|coy+qjpRp%0C`1G=Rp4LFvXLfBT&UazBUeebKQOt-8hgR7@>FyO9buM?@rDlOTT)P!FRz?n z&0u~{s^anFm*fy!GnoH94X~<&&|H5E-+#H{@sg?}O_?-3>AQs#Ka!aoJY@o-f4#Iyp%|Hml1vN_7( z4MVUw;bP`U3PW@`edRgj6+Q-rB`LyL=`ASK?xR?zokA{U#o)CT<%=lCs&MXL&iovh zevK%ZSK$?CRk$UoSW`(#JWgL#xp$_frQGQ$D9!hk`;az>S?w&V1kyl_@@h1x4QEbo zfv><@S&+^g-H@8Ad>BoZqCWI6Ox+WvB*8h~2yvu;DW1U`pMkT7E4L0Jd4)OARX%SX zbDRQwFIRq})BOl{=7GVIRs0w3anS`_Qd^?IaCobo^n)rlVS2YdK# zR2r{z$2eY$q*!z#;GP7@rMx4AgVBIS?uZZ_R$dKf0#g61us8)o!w9alC}%`Do6#_I z)DI}P+TtvQv$SIVqzxLB#U8UL+Z|+EuvjK@ToS1yZL&Dsp3;0-+fEJ1QoAh5*QQca zn4=D*c3Ye!mBo6gPcv4 z6>7IkXmo3J`U(p2HFAVAuc#nzman2ji~J~-vT-QMuj9- zza0ObEn(qG3vn3 z@_BOdX^?uuP`{OMh^Zu7A{&g+?DH5|+wROS%+1jn5$h}rnjNS7IgSjA2sP}gD2gAH z7d=1?&sJ_9L1Kdpu?+bCX2|6`OUMM#PD<$-6pg@8mQaK!#;=4TM3tm9T=_(39cXBU z;QvG^Noz?^R<0!NB&0Q)^2099Ql7mi-R~?Z%a>^ho$f9zE0hx*x=-{N#XtmgWr?1t zqnsW$#w@i69?8|lFJ(APGUaoOBu7x^!4Gl*B6TjOuSBxo|754HxS-1Do^j56Z>52d zg?C*9Q)u-gLzAWa+KFkzz)otE9wDJIr>7Dv=CpyV=|GIg;pB%ou|k84m^cB1tEu}) zx6B1D0pJB$SF(;}$#FWCg;RAb%cmTPvxSd(Vx|n8N`6}i{W0wQVu$i34=cM6wNUZ z21u|cBScT?OO@bI7SX^`){N?vMSK`7S`x{ZcM;Cgf@+x-kUspMCa#H89vJ0#o^u2+ ztz4Po?!m}F$}^+LTOeXV<;$Z~^7vp1W94^(@m8-a@H$vnvE&s=t1*ZokY>s}R6m9g zK$Tp0U<5@tF{Xv*{4^HUL^wTOx355$g>fTbUa?mzd#`A+K1;0&b%2tzKEj!kTP{06 zN!kF-w5-!gK89LhxPdo;o0F$us&{jQ;#U)>mA%$(x7(GZtq?_b>9uaxpj*c;YMLn- zQS)9Uc3yg|Oc*-_oK!0_#4Z6dYcVC0@NNMwt-Xw(AIroDC6FjxEz>F@cu))$iV?`O zvuWY5)wu=TT!WIPCGC5m=AloM9>tLv2wk|D~-AHMsxGYDe^>NDTWXqIb$lzqB9R?=b?DFWG}95u_WX9tF}_(w zkP4&)-K*T0TPkK2F%uQ$xQlbWSikuxB6or5r3`2^2UE62F{Ex5*3jCgIEAiScqU03ughzXg}CT1RJAN@{j6Rw(1ygp&V(*G5Hw6-kEw$ z*cQ#MKt%sqwvgA6wW*W0A5fPM%*uO!Im( zS6B(7i?r@sDWFJfl*m?FonElD6^zKJR%c-^!dgQDej$l_H3>h;NXj}x1Y?Cn)*DJB zVS^!oF+dWJ84{AP$&f&Nlf-62LK3zb5{T$k;mCj64G}?6l3uhr>Bz)r?5h&zctm`X z+MNbT^dFIS86?qtMA~gtzDz|WA?bZ8b6h(NIal}(>0GS8k!dw72gnCj<)H~wF2(4U z48-QIQEk>#ryb zHcBH^6b9R*Q-Vzr6t@-yd%{@CEg1+tcc~khgK{!hAx|0s|jIx=;)iZ!4DNDkPS}}MnNz+)$+nLRwYfM^^``wj#JB@rs%?ufU zv|%iC7wZ0`bwMzRp{>fU$P}dLW27?7HgYe7uB{B(7O(6v6@u=!P{@Uu zF&Ns(;xtq0!1x>I#Az(n`l`TnFLogf8@feV5HI5(A8^2>n>L-^Io&dbPEaiJae!bO&(8mG^jQ&Nk(AloWd z9)hOFkI4U6eF!4X^Mc#s-CVgZt9K7ziiwV=Q&mko*KKUY=twn4G-kyQxbl(E(d#U2 zHgsTl;zozSE}8$atRRB1zQ?LUU>Q4f+Nx}v+S?w?R_mb)3k+|;%-}i*C$1@9PQ^B> zL~5fj1dwJSEwhw8(-z8sNc&%MT%i$Dn2GXowQ)>wiK7((jWE@q9;cEE-;gjC=t4MC zg9y+3Vw_;hP>QGZnt>=Ni}U*?11h8gstHc2 z&5$jwA(&a4A=S(!h$R{oY+xyyuE3n7w-8C4&f1A3cGRLnkpG2`Y2{7EV+WK2A-c6Y zu~9Zk$2toT$ub_0+*r!@)2C6ZHI3qgU(fiMc#$tPMuf8%t2pgGN*wmc+0-ro=080> z;Y16i3}~J=gq;`&;J(W zx3)Brs8(A#D20oKp-byzi!uex+qk%{simo9f!6LUK`iZBJg>1`qfB!asl!U_!iH>c zi+$w_+Z#I;wl+)M`5<~1<~MfKw>PzQwzkVKEHaVhiFdIe8=gWVJj!$Va0#s(NRg+t z-Ed@TA_l(lK|Z?O&liUF`z&y3An#eYJfxe@qts6&O&y_~hov8q?b8L~cv|xZo?L{C z5pX{*usglQ`C{u$UD0dlM=3Eg_!LqIi7Y`6yys$dpok~mR(pTXyVC+~Hk(zwr?hPR zeg|XIc5!}(G7O8ZTHo@H@}~Ml`HjtW%Na`+&*ur)XcS5CHZBO_LYxZ=abjY-AH4U5+?Q$h%70tzKSNb`U|3Vvl~vkW zJ`Vv>#9h>;z4)B3aTC>lmU*4)AvN_S&L0dPMo*_JTAEwy7m-CMJN12ak!J*6=h`ve zrA9BluFoq8>ZIAl{1JK&VP=AS4}tOi-b0`WVao3;nj^x=JbJlDH?P-gF|B-qTIQWJ zJF@D2$y_{7 zh%?7t5F(goep_n?y@l}u<8^p-fZmO;dq{or@Fk?W0ZD;5F6Epj;$M*J8tdjY5u*u7 z#;0cZVzYeUhH^v^BBF7wkjC{FSefdYpS#0uxQ?-+2)xiyc=cHxY^W8jscU17vt&G8 z?Ksr$s~txe>PWOd5k}6uPm&PZq&b5*9uWr@&($KrVgkqx2%Yvy7&qTj)_bL z$0z-^E?Fa{F-ha#LX>q^Av7s=;lS-TuL{)aD(1w^?PyS#?8DhabvlA zA)=J7sD5o2+=e&m_T#e~Hq2OKQJ+{Gf60&h{_%`;f_fi5e721h{>riqq&EToi%4^9 zn$mIoDpen9i3r=^C;~=&pmAy#SFNFSa=zbq8ita>iD+4x+1Nxs2ZQ5 z?oZmXxEkAWSD!AaO2<2R^uk^byk@o9@Uk46zRJRHwb|xlxVE-4?s$#qLI+V7-kNG} ztXs_Ni&7c;4uorsBo0aL2_uv2aFZ+08fhD-W_Q&0skNSJr1u$%;a0uYwRnxjYMbBI z)mbkp)n)8Z*i^reL3=6PhSpDSDw^saMD;UvOyymD-w+#`ib*O9pUuIFXMC&vPp50Z zYfQYT$k_M&m!wh+_}CG{(&QOGs4sVo9rzl1L#y)~MF-sw!PuSntVU6kd3b4R^doTh z*RoXqrp_qG8<-E^bCgOQ<9tFiGBhUCuElMP>C6apFFXP|vy;vgU~wH(cU;%E{S(_n z?r!bEj9ZHSvnk0Uz62GCTM{vjL}VRQ?_RdHPwzz@CBsosgPOhEH467f@R2js!2Xi? zM74W)W!Nr^{26~%2VXxqg<|^}-Bh;!0>#B#Fvv5Qv8(W5kx=uIdiMI1v3n*kcB`gl z1vH+|1ky3vzXD~apSAGR0rc8gSzBWZUb+k1xJLztR#wHBn61-H| z*zRktTiU2CE6ODUT1r|QB=J&7%&+ULt7>ZKT&T&$NpfyihhHDWFxCcgtub_j5qnTM zzbZ6oK<+ybiI(5ARMYp8Boxt!rm<4`1XS&6KDQ^hct=rlX9L3OMSRu|giDUmnhbg8 zS2vCnE3>mOPFT`wH8vUnMy7yhtjHXaW~|DNp(qLIE33H|4t3RWqdZNpMo?P z;+mlPL~Xu1c0t`_y9-Lk;S-NRG~*jp`9-3zeaX3$U#lsH?vN$!Ir{aXUsMfP553dx zQ+VTm!0d2a+(>Q6Qcr&YudSqN+O9*V_N1v9D~H9AdwOKuG(frT_A)G(f&qg1e$*SC?8Pi8RWq%NV6eLrZZNnvA2!B zdT2UhBQY~j7~;+TUNWx_d>c8i!fy(1X`f$vU3*h!3$W5r-IY~a--&H2 zP&o{s%g)tn@94X4_tP(3sQyj(eU6n!bhUIeEof?)Q@!aB-Fo^15!}~L8rG*y@ zqp&`25H{)ZQRt}V8f5f%DvOET3?!BTdld zi_cr9XQVp!&KvtQI5HD8dGOh!p1LzGd#X^~(b%H3PG`=g*6A)@+Fw1QL2P%wEy|!! zJc-XKv}nd|&eOuE0S)pvlk4nzp;%n~s~InTa0OF~;-KbT&c_NhjVkxbpU zbcot~*Wx~{b<=OS67jhgD)cP|@>OD310AB}v@B;_7x@6z3_C2K3ni{vMiKOtG;vqI z4IQ))N%uQ^j*x|KtK;u=@?+|RUoY)ri1w2-G&X&th%*uM*d~2*@0g1oXVWp{=QPd7 zu`0s$aSV4Cb_)>xpnqLku`rm134CX$t`F7se?4lzVx-PB8rL?P@KB&zP>F`uL(q0_Fkke2sp2PhE0FK!vDd;OTC4YGK*Ns^4INOAZKEj6~xoX+$ads`;YuW4tmQ6rpv&UH??n8zsJmpCXW;4L*VMaD1 zH=;}FI@rG>lhM9S_-w`R^^nZ8{yd;QbN}clqYr38=r0&9r--BjfN2*8qwjp5^i9Ttss5r#G*MK;^}Nl80bNTO-9B4TmkDb7eA@Fr z04c2VAmv?_hqDR%tj(q%qChBLmebJC&g@r-LRdHnA&piqXc(c^OFfG)t851mo^c`d(#6%M*LU_A6n>hISK#v_cD!cNL2!tPB#>EEkg zKb#PmET#ANFTG;DHJW}TYE49mk2t?e-L@z;g?8li635Yu!&dK^X~3UZb;b>K{#2nbn8z;jn3Ai+pNrbfU7EeUuOOA?ejG#z*z zO9Uha7deov!$)CvsjojWJi6as30@iAAVjY2UnET(@#x_2ol<1tqy1<}Qx|U;tgd^s zCj5ILcAMJ2Sa-#LzQRXqs-A}=>;r#mDPYqW0LM#rkaBefY-A}#6FWfha{%=jC z(~K~6_0tzL_cvPytM+H&F61>5TlY--g&I#{$y@)0O2_^ynliV=g~y}2&+SHa%eHqf z?8U+Ak!Ne9C!hL1?esMD>uzWGdeP}M=L)K(?K3Yl78h@c#_#1;oTBw?FVfS#KfGAQSE^%Ps^YQgO)r)4O!W^h`LKFRe0i3I z4OLrTz7mX=Uw$-vtx&q^Jbvol`O0q^O0fwXQ{{;=44Ql<1MMl zEAhE~HB-_qO-q;ed9Ce@HSU)B=B|du8uqVbl(cSj=XgHaBP`CxzX+D|8~~?!a4zdAQaamDH=_dS%%2Sg2;al{|87bQljCf>VK^VMD@V@yQ3`VM8svyYuZA z2dZn{!BfC}E7iSwtnrw55WzRH`|xdCMC8o#i-Y@aJGhVIV|n4=J|*+v7mn_;J`gcs z{bbr!GWIUS@D#kW5w9j-ill2CJ{Gn)6Gu8yK;7ChtbkZv!P-9sLSVfDq?IMdYRAU= zLCafS-`v>YX>70PV8?-t2SedJFyMf7)Me{XI3FM58EusSp-*QQ^`_9nfE9tc2dweA zr?MEM`SWdPmXCjM$Kvp@Fze6oS0Qw!cuGS&EX~1-yBtSuxO+SB+5zjZ$cSlJCJFfQ z0qe!ruq!n98c0QT?G3esb$I4qTO@vI-wq;UWAU-Dqtmqmoo9iJ2Nk<@fHf6eVywK!f6RU7FOfd zT%e2jI_ugsev051Ux`J5G)Wv|UP@)@>4}Avm*8|3yvKpW@A7?(onpmGB3gUrmTHe# zHUT=UFJp(4bV{l2h53mBa*w*5hNTczenVjHId zI@@ny3#+t#(D`C(Pkj0tBNoKPmY1DjeGZQx!gJ`^B!7_%3`9WmjvR`ZN%>kG|`gI_no2J%fz|WY*Q-fimt$ z##^oJG;k}PO!11mnYj`Xjo)B1G_l!?}0_eEDTK8WghOuVo23J~pW#GYy&fU&{)5hXD!r5!=oV4@;rle%ezTJ3DG9A z4<#*=eIf6ruoHo(&#a?fNd3%ewfbq_rCprS!xcIV)3F^lbmpUD;?oh0c5(6NYX*g2 z;?qf1D4$NjbWD6Yj?yvo=ZS+Woj?NRy#>U>-BA9i5I(%h!iELD`@(ulw3YxkTMSxJ z;N~x_ck#qP%u#ErpH745QZOA+{?MC9csTHe5PlLc9cb$ElYr@LOUK#3x(ld$39#N| z!qvcf6A9OI7C3vGl--$`HO(*S(=9ZoMnJO&%iVSXID@Gc~OggX~JY6J$|K2H?T3pz~4ijo_6c} z!@xBm_*keuKPt$Q?xg}$;SIp2VnlgS!JQ`lHsGV^Hk!G}fLA2eN^thNN&XXHdTc`% z$$t-gqr^zCoGGxLUaimt1_9GE9v!=Y=?qE7*guN5PIR0BtPc;W;0oZ1z$4#SFY?dC zt$tD4mH=aI;X*@Ui^8r8!7zl~OxOxOF2Z-}d|;ASLoo5z1Jjiae^Awr0@I5#ouC2x zg>#6YU7T%#fE$=d6i)OIUBAe{BPL9}y<5_#0t(090qfxyjj<3lMAJb0(ZKYQ5?u-l zynM{+@K2KCg=kdAWf#EIh-K1d(s?0yGoQ+@4VL$_B2*YQOk2Q`LZ8IcryT#g26!a+ zIyNi(_ptxMExu3%)S{!o7O@4?0J0X>1wBM#%v?bS6zCUq$r|A8h#}M?6q@<;7>@XK zQH2Lh<;lRmBQ#1S|F0o@KUF{i`Eulw0{kHe<0AeD;A~VtWa1~7_~|A*6ZpSE3^F&6 z>bp{_&)=_$NZ?e6LFN`OKm{+O0umvE%m&PUYXw(h3reWPa*1n$$y=lulZM%1aN3 za|d(ph2$Jl0~VhXW4uxe%@*E-0=q-H;87EP$ArH&;XzpAg_fUW!j%$RC|^()Tn~bN zkwNFSSTp!S{5$?deN?~jz=ah4yZnbt20ag4#L@qmFn~PphDqQf;2Y2dv~})}{}uRj zN}{h!{~l7_ZaIE-n_LD{0|~z+F^!@a3unIC{c%xNnqFH$%JI9tXD zDNG2##7_s#0iWg^2l$r((`#OI5iTH%{KHZup~@uC2~1~lbWwrZfNumQj}U$U_k5w2;Kpl7lQu)To8gk2A&y${{l>VHM*$2vq9_^ z1UqKTP=N#!o@By#ChRrg1`}Rt!mA9797Pu0ZxA%R$%J1r;r}w>115aTgio7r6gFw1 z!IUG(fQxj3#3?2`)r5;oxXOeVnDBKbyh>t`qsf5#Oak=AU1*oQY{Gj?_>c+z)r9G= zGF0DS4X02{2oE?-0%;~ZJrI4$I*QK?Ou$#hO(yQ0Cj5{IKOMLc#r%6sH&XUKMYKq$aG4V|I_dJS!+j#1z=usZ|~8^PEt z;Af@6@xZ5nT@qgodm=@?G55an+Megb%slvja22F|AyIaRn3gwfdQt&{@K z0`CCcFYzwmEr^&liT?+90yakUl!(e70$vX5^#=R^d@H~_$&bcEmmjcb*7>7=@rNjZ zG1^H%ItcVr1U(4zffImXq~MnVj|bKbxEgpH@EXal2cC@;oQ!JL1$+(gD)a&AzX|v> zmK*6({!ZWta8DFv8xjbBFcpMUiGK^+1bn;1TY;l7ZC)wyJHShT7fQSjI2_i)CK2Ta zfQRZB`i}r7h2Uer8NhzMg?|HKDhODV34{It>;}$~_zdu^!20qp95;^u(-SdjKn(Ef zz-baYfoYZ#27#Xf%#rhTJOy~@Q1~C;Lcw(0Oo4!oXX1t?3E@&ixd+&W6iOFG{Q?tS z1)MJVc2xKPu>6l0U`5W^Gr+i9G%8{&7WN#l1@#+*S3sa)tP8vWj7_hB-vx%Z4E%dw z7-!)B21bCn5Obn}{lI9g!9N5H?}~#RGT?LIq3C~ua0~CtLj&LdB-`#C%)i_w8g{)k`rtnTGBcKKPK2-9uR!HB=AO}Ey;v0 z4%m}y5oV%&VeNHk%^@$QP|=HH7T2PMeaL|)l5BsW>dLOPjSK8ZwxuW;S&f05DYkng zb$Gxt%a$B?ezfgXqAVF>%gg&$UqaDelW|RO_t$X~0u#sD!c1Ds(%GxyZMlJ-X|}rzYu_4YyCiH+UD(T^^Wnj*MAzT z-@3#$H1PfeTV8LQuTHZ?1wKi&C0Hia_2Xp}c>AZZ_rOHk$iUwx+CDJcyMB^wK;WP0 zwlS7@{RPBdGi>ku6sBGgaAn&5U|2bHifz1-Q9mVce4IICqc63+^ix>q3Pfkw2KEhW zk*DyZ@xa_H+kYDkxN52`#d7Io{RD&WXFt)98?m4budvp)&v*4VYUxeG0fDX4Z0{Rw zc>D_6_^`=!^_k}$i4RTxsXF7K?0!3gvO4A!#|Gw>+GbS#KbQ||O67mzr?ji2 zslL6nmazc-JV$Bo>El$H?Xs|p#=7$iG|Oz;pMM(Xr21?`-n2r8pE!idX^%b=p7qJsM_IxdI;|KGVwRdscB;QPPd^SslKkvjLB z^E>C>d+t)VZq?oVWS_O``YjuhmJv=-Mu$^2=3JwuZ0@TlyQ1q!V5NH5DS8-c5h zhmK_L!@ukB?|b<7{zHmyfIs~r5B&CbTZQT#g$ z|K50LaQ1Ng`-ek4%hiP^;_BK%y|Yj9DFgRkb*NXi4++0Lr0hTEkm^$bo%#5}=q0`T zY}()Lpl-Z(PW847JO#dKSm_6XE z(ft%jNX(zKz(@A{n`Ik)r#n4IUzMK`a;g} z>c~x>=0rW}chM_OIyL7oJS1N-G)2LG`01G?zp8C*YnjtlH^04h{;YY??GFr&esEGS z6+Gqg?CAIri;No;b(}BI*xZqSMx;Z@x?NGvV&rLKiM&@)f5pf%3W!{;3mICZsiCf&VNA<{1CwourW@t9MAI3+Ezxx8 zTyDbx&3Y_))5v}X+^Mp&OsA^tsitcIjy+p=MtQHXlDJ<;n`Wh%ZcVq+Os{5$l8Tss zD2`tX%aq0ukyvX>6h1~T8r8P~#dRSAZtZ9jmC?XOMFMnZGlBVa3q|!@oXx67BmiMv zHig2{==M=JMDM!1f9mi~MfpXG7EUdVKAxL-!Y!UiWI+esG{`KVZJssX?+URk{MkU}6PHm2aFKY$xUXS{bszJ6g5D6<; zBU077H7!tDSW-;nMIh6)KyhJJ#9u}U%hS{kdhi5L6VuhtdV;E$LcAT_)KB_=3NqD` zdZ=IZ*8(MljJlwQx@;hDi~Pe#bX^bitwCC#tdcPg^-v!jq6H=g{ME$lAKg2;ukmP4 z_4c7!z(1CWJl|8jd4v|I4o@bD6MC!ba)BKx4ih=6x4L|^76_D7781FlxB9~vkkc!P zntPnO`)n-`3^Sb40qR5NYJm_Vem_vXb}EQ~p9JR*Qa4TqbzX=lqjIpiI>JeR6*Kei zA?h8ov_P0;_Uj?);YJYt%F-aohrQ}uEn1+aFvw&#fb0YrDk_PTvXZ@gsQT7IkplTm zL)D$XVPrWo?o)4BgnF1>!qis|D_1|bifEDW@G$kut3fa59H(S`sHpE;qh@zx{X;>* zX=9bFtseDVmhuXvnIO}`pbrWtQ$WNMo;vd&LcRmEEzu28a0PDMm{ zL*c@3O-KR5laub97A!5}*BMGjCWTq9aqinpW?t~W5v;+i0%Zb=Xq7Tz8A@BiWfB%3KlC_dYYEAQ`P<~(+z~T zk~IXWdsUHV7`p-Ven|t3=C>Rhj1GT%3Bb%r(!>Zv`Fgiqg?6|CL-6$6Q z2)GB4*9D5*Z_Mu}8Z$i;Se08AC-Kg5DC3w+e;D z)r_1qoD^zzd$g?%wE`vUv~F6?eIBnrP*ozVZR3>C+Tqc@b!eTgWHmzTS&z4JT7}fw z%PFDtfyX=DsEL%aD%5g50a+8V+MSm3g-7Yg8l>jl(|?ib4NMQRn5V*2yoKdO=v;Zx z>fXWtQK&c`JDh~4L}qxw3YAs}Zl)jw*XS!~_(akJ1&OjEatM(n{%JzlCrF_@T>GeR zd=W$Lg6^K2k={T>h3V}i?fQNs<}qsm`imh};td(1o1r)gXqz2!aO$s+3zOMu6YnBz zk3$aLz5=;f%so@Cm62w*(%~&GsRhPWKjs$>_4qAx`&{xSl z!~sQ#D~u@!U3+B!6(m}R)olLNgIXXEM5S;zK>+XO!OyB*e<)zuK+_5Z3QMSwo}UW) zI?*;)Nw!jC7_B)JFnjGxZ%J8EAc>*EAdv63oM`1rE`*H0!>+vEJV?M1<68G%UP;wA2ZY*CMpEq$q4fxS!DMu)g zBHn(fhr=KfKF{prh?G2(gBXAa&gTtP3Kq(LxHnW$I^C?Ek=~NvG?PLv{QU87rN~Ud z(0iK~!-y4glqne@_L!4h36DA6ka;{JMnrQm;7(sJA&7_>$Ou-{RDHw|eSwb=C%r-m8TU5h`dMdXPqO7PZC(;zH z@CK%YL;mwcWd?}EjFZ<>m}#2zIfHrz)*ubm+-sHG54`9JtuhUipJ!5NAXZJLvznAs z6{{(;Xi#!j42|nWLTD|#6Dbi_>E5c+8KPT2_hEc8Y-u;`uAy0fS5*WsOU3{mQCL)hma_)PG_B*B zcf%?iH|hJpEiC3V*6i2tj!c~qWp697i}NC) z!`Q~iu@TWj>|kU;1Vb_jKg-B7B4-k5V49e&-7!oQwdht6!TpcJL@^!x>{J>%CDfvB zBBg+c~yu@*0C6<7mMU$H3cm(*-(u; z5|J-zs0dpmh@6Sh8VMqAY`nHY6{B@kSX3i*GZoc^qFW!SEgQ~@-LQ=$X<3-{Y4;C@ zahf#+N`wAtDEN?^r)^^jR?H!f>lV+nx0LcMuze65HItPro-V$oSW|oXr zo?vFFgVSb?7HWSxF+M_2s~doHxi?TAGOL^>NaZ23$}wbsG^>1xsvU8l6ew9gWNA4D zhL2Szkpbiw#ie9r_MwqOTh9`${33Wx1m`~0JAJaOSA?k`Ma^%<7!#Ue1}UR>&}~({ zMOAEMVIxslp}(Rii20jA5xE1*AoYM|b}(e~6f;N9%M>$HJCVm~Im^;=b`dE}@5ar^ zbY_6?_h14*VZnltO`I7?PG11_2aJtYD)^sBTIA{r&}E{fp^qs+6An(6mT+4{YXYV+ zZi_fg%F*o;`>-5ha*pW&3lK9$i>)*>PfI-7rcv?Lja$~g^hF=#@rL9`fC?f?%uBSK z6&~$Vre#$Z8uwmkt@L;eISf)stnp~ea|!!oH#U(705{9_b$XQ{EplC>Er4$LHaZti)Q$i%HF(nZ6 zByqPXArkI0B@oagvDTCj3C0Ff1Tjn^n@tgsutoRM5{a4Ft%5ELuz)0;ZDtayKT6tR zCb8(Q`b>(9@^3~zRS^s=k~%?^AgCq;|crEB+`LVCGowd5lhZ-d@$UE9uB z)FTzuKw%3~7pH2k%T$CFOMemRt5dbFS-N$vVNkdH5qO(ZDFz{GWlE-4d6FqsmRO3P zN5S){O78FT5q$nCKe`I)q~Y*%9y2tPF2i_HSaL3BVVuEjvADxP*Ip=YE?B=_Rw)4b zdZ(9`PAMrok1Gwt5LtoS3&O_TmwD~;R+JV7&MPepQYBJ%Zkv>hjGL5)bFK9oHCj-q6x7_f;YE4T$O753qCs~tKHa_x9<8HP>LetE9|`PLTN#)_6TRp= z!0N-0SMB-Js8+1b4&FaGh-L%f864ZEea%Fu6&QR8jT!-DhNBR90Oe`R3kasWS-J4L z+hCfF`^U6=gME@&F7c<8I z*rIT8Ye|$S+f40_)5#laA_%3M;SJV}sxiEXrh}@TX8ATm+vQLK_H$4|C0EPPW_MV$ zerbY?^kVfD6MSA)qrH;@g^|j#fH09K>2l6509|C`kCU|Ej|W|B<4=;b;2R}KD6t8Y zOIiq2fG)N11Ckc}Fz7NHe}?w#nN(B*?G^XL@t&MoZzxz;89~1wvdX3!21}Fy#5!(F z4oDCSc-H;!v#NIcxcC~t5Yul6Eu(VrBENa>MQf;5qB$z=QnmLOhPCEapcq-_0R$5g zKWfBu4D$%0&G7)!2u6$8q2*O=-C6PeV6?g$P`{{{vre`qK3HPS{rW82S5*o$3PTXW zEn4AFa(9iNYrnm-hXY2U;v(LTsg+|bg^^~Ma#F^r;G%3u7&CGvT);_$XGsOxn+T;L zG(j^=lA=<&&2IZ`OYFGoXUiYdh(^;j%+qxqU5gH-fUeoNPrVJUVU=XY+lPWl-f+2? zM$!#)xZDsEF3iS*;vuvVR4<`VY7Q^vtvLR(^Q+Br*SdBFEhQkGd8vRdP@<> zrpiDi_m>IdDc9T>Thf<3J_cU&mz!~y$v4jOJlZ+oW zhOqlj4&(C{71oGbCycx3^m#f~JZwYNXm43fRk2yydE^IjBgX#%B28Q4l-x}dGqEU9 z7Ah5wL=%mXG=_s!)Y&x8EuQWC;?3b4wc9>)RSzJ6hT(-_b~A{~^SzU`(@AkrL1z zFM+^KmHmu@VOVL`_LNY~&$ZWl2#i{CVeVzNib9oAO;t9w%W@+JF!@7o`*10u*6IfA ztpwaJOEbN}iW1fZkXL;2H<`JQ#SvStXzwTJ$@W~#4>Y<++*M&c+0N@68@;)zI(0R+ zVvK)2`gzr$?)1PCaiomMXmwz~@vp1uy0rfEEV`nlejYg|V3hIED+4jwT@XEcYQ+gFT(%VS64=fh%Y*604T^gD;DEJxA+xuR z{FZrh531?d$Iy=U+dv~3ZBmdljb@;AWz;(OIJ>o_J<wN48dY%@gWWHQ<`;1V)83w?u?Q{_flNxS)7jD9Azi`&ZXG#@PE zWBboh@i}~6(-o;pE>)Br8UJ@CE@vi2cbx^9gE6uF&**8!Q1 zSvl@Yo)s^%gWPP3zB+9IMPT#$i1JGHX5M;A^XjmMbLKTl_z)MvpdAIx^XTsLF< z3F}>qj1fj&CL=~4st0YCCu_H&4pp?b<;6$rLRYk}#loDwJugs|a+?cEunsyBJG+yn5|9}+ziX?7c6`DOEd0094>F~ADd0`yBj zOkozP>VrW1Qa?6CwSTt1*dOtSu_Vv>7qXsuOogPUXNN5vH4Q)pLmF$Pdj5YFWMVlk zN@3Z)&6e$jGTYes<56Eku8sFo^z3FlBC*%k&}=-}#7y7Jp6bmm!-gnZ!U?XWeF94R z2X1z`$0}{?vj_*PD?|;x?@a`ZgBe_=hSJnFr1Fk?fH_o` zU}|qg4wY1GT{_SG)z+{sewLvf&Lnjbs7 zSR?77sejh=+&gt{<#_liE-SQGnWTn0j7s8HfFIC4V~IKi6&b5T z;zin(J$SJqSv(4(pfVPK*?~eTs>+mU zvDA<49R!;Jq|4gFjrH?{W8G8LLn&Hle}+DkHp+$Y58bx5rVeG>N5iFF`A-A_T9uf(IO)XbtsNP=pe~=AqgRy|z9pmx$er!1EX)_Aml*K*U}~pkRpjfDy<%5uY#u`6J?sp@z5E zPr*af{-Hz&rSBLalnyXLDE%@NTc^UQ0e={KJy~;KkgC3rs^vc2r#3E@iq9)%HzGWm ziUWR=!tL`6&NGOK7GF_X8Zga5{2<|xTA6YzHY&hVZ z*^O3<$Q_g!X-;A=f+#UzL_)cCT_1umXr5>K1LkjmQ^9ah+=LTgID!b9I3i(&5-6FD z?W?RFg6ZmC)3n?>2OwlZ7(#;_0XKmbp=($6<^H343zlLD4VyqI0)BvD^uuhtA-kE{ z{X)=sphv;s#cnXHY6N6c+plV`2*IluBu7M6CU<9BJIh$dN&&VA4}8jGvsnxxR80LZ zv6iH2EBkT3ez3I%xcmZoA?g@5v7>IX>rlM~qb61*nr3$#Yu*K+og%#UY8(4=*G{sk3hnWv9;|&AR}}gy z*$xltdDft*Py*W=KnZsb)%FW@YoBEa8f^pA?=yyaOG>Bl0TK`S7{xa_ktfd&RRUq! zDb1?)^=|4D>00jd1M%>L?S-NyKzI1E`^MAADwGh_9=2B$$$<_}cM4@JV0i%PY&R>3 z^~ELy@JxE3V51y~Jw$K?=@VQLdwAf%bOkw52~-AZgs%_wP+#h%7Lgtv|&8xU8`z3*Z&K1QvvZEf#Y-9LiXK`=W;Pn> zCDh*q7Z!ESo=uBK*?0kK{Ho*CuQK{aB5djtYUl`H^{cJ1ZA;3d5=q1 zYTFv-;GKk~&ITouEtu5C@x$|5`9xPa`{m=+D|-$W_>c&%V;D{%Cipu)d>lL4z~Tc6SUBo8uqx zs@M0DdA3z0RiP-WW>K51qL!%)yy~BNxl~YBCWXbb@AIi!dl`dS?36awx6EpgVPI<0 zYMM-BF=fV6L&N-5Gu%XKsB@+iPN>u*Ay#Vy+Tx&!8avu~h}7EPtW;(EtJ&)7z4|k+ zOB&+6jt_RzS6iDXP1OlwH=| zVKiWky1k(Tt1D-3$x$EdJ(%X`XzJ+ISg+43BLQ{(g4u0inL#=Gn;i8mD2iD*7LsQ- zqU&K<=T*{y*?N0IOekmndAOlolR21rwh)?M@!Yz$IKBG0E=qfbtG8qhV!dHQeFNH; zP@_)L<|mPAEf84mshqv!M0Gt^E1ug>KTjx4aMM!GT7RN?z1ik%otI{-EEHMa=+yJs zy6n7Xu~0Sy3$1-&)qk_677M{Li59Nve~W|`y0`%sf24~$aB&q~Jb;T@y4Z?~Gw9-3 zTwqyIso#YQKQ3l|jtkFCbFmnzDAH!{R;+^W#@}ApoOncy4*vC!(Vwe-9;GN9NWB?< z>eLja?6CSclKuhmUzBtnI$ri0B7u5kAblGC_Id!cIy$O0zkpi48a` zv^>VZqI_`^*17={z3zhJdv!aRl<<0}587WuAG(0!wOp94&fT=|!f|-d;6U_+I`*Pn zXe3_W%){SsWJuRj@Xn+%;U`tSCM5*}WLry{qGoMWq|hF?h*$918tUdNnXPc^TO?d) zJ|}>r8c0>6Ya>xwhn?X?U|+^4FqX{ly|P)B3Ya~%GfEJJvCmHj?3L7MB+dzK&wMM+Hj zBzkrIh>VTOb|I;lqP;FpSCkv@w-w@$>zFmV*GfdzXHwGiY*GbYpj}Qc(IT=ZzN@OI z^u&^V{k)P+Oc4~xOh?op(@0k`2`3jc|Lu(4*1+m2S~a`Ji6NAFiZ+~8JX_Aks(mI; zM08V)<4xe`6|E}^x z?rCEw0xvLq*?TM~7tOsUwW1pk-iv-dH}}-trzpxb9098$$Ku2l1+~2u<-OzZ_B>!F z{7zFxcc)hkt6LkI6_xdfQeY)3w$?@^b9eO1#(``i7DhfB(Y~NkiSd}XuQNnqNnJ|LH=6j#Tw zEv5DAE9bZ;O*d*6IccV3!*K|$l(w>NlBhkm2PCZvY z72^!Nql&^P(%jt8geSVZQmT;7e*=#V`HEf{c&FTlzrOgJ7>hnKfAk=-@VJ|W=r8jJ zvr3AYc7B05WE4L=dFza!v8t?TyyRe!v85Azp}2KdSN*IkqLd^SfKF)s~5c% zPuUoW*q?YX`ee%}kA7?#`kzCT*aTy!%c=9GBTO$A~VKuPFqtY-MGR$%H{Lz$v*Oysaw#M6O z6K0@?pkh>Kbf1BRP0T>s>+7&Lf~{$)(=#Zs7`NS={xvdqObxfTs&he0^z^2My%gj# zjK7P}Yw=dBUKss#`(V~1-3mrxgWZl)XMmPvm3V*9%bK=+0I~JVD+RA(&^IyR+l-%-5uSp$_@qXgN(LY>TFpa|QOHm~D zS>T&inmK+vgER_>_J$@NubV}}EICiS6AAe3h<>{8H#EfeTH75n3k)4Q$>NjIZ3{xbU2)kM)(mKX6Tjinmo8gKNZlSrra!>nkm&Zy`x?WtZdE4S z<57Q`*4#Ebaw%@qu%t2@bGBO*DL4rM(THUb1!>Fax)tjxO4eGXgNP;!)?n1(+Nu>K zsDaVy4BE7S4JSlS%bL+SE>hot`I@5B9 zc_RfjkkPtXXxR++D!7(cUfWcWr`|AXcF0f7b6Ek7Pnh zOi!YDSEZ*uOI~b=PPob&%~{N%fq#StUJp5*)fB6siTk1%SD!Rwl`;Ws>%7L<*qw$@ zT7`+D5AzVp;Sk5!0w0N}SvibwyxIK9_+d|wAa z!AiKAlO4ePe(<2Q;|9)-y@uTrPJ|RMfL3zSoqWi8L zG;5V|AKZ8oe+s%G-XE+|E~IPTAN)m`L)z7C#H%y<$?xxmXH`>5ACs4~XQ0{6g-CRbf%ge+LWTY-+scp0AHuieZ zm@YvoxUd*E)meW;0wMtk;e~~>X0<7q7ceDEHJA`jo6XYD9FRtPBqMwfeFe`kWz{BtG#wh{P{$#*CdzE{;tQv!8u?F|9k>DJ9@U{<6Y-2qXjgZCGe zUMM_Un2^P03me(QM_^Ty#E(D$!jWz9$0;>IhlWZ@94tY1|DN!lk|bxh8$xl&A)xbF-m@U%#!o(7?Cy{ zt?Q^IAN}%9o-vwHF zOwl>$?%vTgfASq;*$=vVMB07Fg1c$e-8)qE;pm0;v|!os#e1f!mq$;!cegec;_lDFDqQmZ+;yKVa+H&8yU_5%?U1=*B_6=Q^?56eiZ`9Pm(Tmpk((_ou8sS;K ztbdoiX1B@7dZMFjZ&e(6N9)zbY-(RWN=@6zGV&BL+&tqkw|fMuryL! zSr93Y;H7$ebD`L$Xu~|ZHc`{nBJjato0B8cN`oQn6^c|A`m1~+iQ~c;rKrVjN}-yf zL>&WYU_htDMaMUi8F4i~e!MULjG9rt%P9v59+EMDP6iJf=t^fqDt#jhYLHTm?-ybx zL`|r)#Ft;A7}{N`uB+=+CMb)cq?A4mS6CJHDe7Bb`F#son(8`GoFy(zB8kLw%oV+LF{29=4IXDiUaE_nihO)j!IV%JzA_k@+QNO8YIDlAt3?`)w{^EA4k=N`lT*gUSdw+RH`6mie)~rcOuE?Q<{~ z#A&?TS((^X0Q5eW4|9Y9AbcQG60AeWVAen4BKEre*i2$-W?eIzW?g^ErQ}Y3W;CB_ z{4)nbfSe{G#`N5v7X(BZd?9pff%B!6BoJiasEahYU!L}3@$ACzmGQ~;JUiu4T2~vU zINQt5GXR*yj&wI1Qv*QPn)2)|0fs~6@H zX82S%dnC)CLgze*EK0^!FqSe<-;t`&3}R0t8<5FwDwB8Jm=c(Ecj+H=}(-h z*f+|7X*BuNjqB<$#}&%J{oHV!|CthmEoAbYBDe8vB3V`m7NsZQU~;p zmhLpPHOe%@M*r{2C-4LA0t`KGA@6lD=`kZD4uuUO2Q7qPX*gV6R2UKsnx;@cyKyVq z4QoDSLdR*t_d}K{S;gxj{%IVUn%rSmZk*r1xKby0dY2~>E~>yM9$B%TS%Z!3S0%74 z%*>fVG3vym-oL30DxYSs7)_MTkzK<5pbGd zbrxqq;=qdW2OA0nMPXHGD8!9WOIhm5W+rUC5l4?x2lfp%UA#IsIapm2V93-N-N=~+ zy-*b~xeWMBtHe35$>zP>O*UM-22HOih4IxT22G*7JPF^;YaLA zu^WP<5^hFg$U?oNv82?xakQtT{mJ5pOl@1e}Yr+HIRwjYn=aEq9wCXBq(@dKN zxYaH^Q&+TO(!`4uHdTP$ZYk2sE+zOg3-%5do*O88O-5=0^Uip^YJC3^(>^xV8}Rim zS9VfhOC8XEX1ds%k6F|dy!|zq-$&5B)IEtTCuT8T?1)nY;(exCQCWEzhG+pvL3_Uo zFA*~siPHq;UrjxHNjZ`i2?u$h7Eu4Q)`jT?8m9`-^`>4;70I2Uvcj4QeBh9SSRK!e+rP;BLV#!Mn=sHvP~vydGIW6!ZL`w$E!xNEU>R&b(8DDl& zG}1hmTqe;JSxNvh=;r?g8w^Gx`gR}28{R=udwbEH?`|R<0O&hy+LVFNG!s0J`k$9v z`R?Fx`oMn0rdwH!Pa;;+NXa~>Cb-L;ZNujCAKn7v0f7FRO`XS`1gF;bx+~X)%_cq^ zI!+tNZ%AEQ|B;mp^i-W2X>z@*@jC=0M<6bbJi1 zfi(;=Z)2PI)-=#5!qGpq&}9h-bSi+)T)B2|l)#sUO{%gmh-zF9P#mTAKsrLqqc?OS7b~ zxOkc$pVFXE?y^*kd@_2_Mb*`&9T&rKL55yWI}&H zb$GImh2!s}8k@C~ma8c%;$Z4JOUE8CB3p)|^Wnx=B>vt-(bb$C76zAEdRUkZmKM`D zTWk$Ht|+Pgxy`W@7T3G$x|(%>L;FTc`)GEhSpyH@pt;OV)75GmFf^B2ntpWR$#W_# zVx1IfQK81-U+B5ovw=eGX3H9Ghli<_b&lLim>IAEx*wp6RCi}}rB7v@;J-saG8SmK5x8f@G_ z^1{BqY8pOaYxb7z1<4|Gjb()^xtcNYVCSwl4O)BRi+3zPhPx>ilz(zjOlHl5g~2~t zdNsJ&!J7v7P<)ciS?!O7_%NZn1%pXbM!~+UEOABa! zvvlwkl5nulTGBEeP2{-(+7yAf)zT`OBG&(@2p@N(yFy6~;5=bi3g!4nrl?-3-E9dR z6AX&4gUh~?L?2S3hlljZ+fY?aB`YY>-i#gPgx!YIgbjtt|KkLZN_>(CRccn{CrKqtGjMlNs`+X? zKDB~%jM%vIN* zkBaLyNd5%l+IUe~;*dF{g8ZF3JE>bXeK>Vpyf#(=iz=)KM85~u;9mlxZ_wbhJ;pY% z0dTzuKqutju`c_EhC^yeH@I?{KEDkXds)<*OhL@5BRI4vh-dPw77fB#?#gz-`J*Yw zK4=!H!Ui!ObZjiS*_CbP$6kf>Jv34`xIVJF(xHsQYNj(6*^sizjhh@%W(_}U_U;>v6WFD0_#0Aw}n;&NDh#>A38k2A*7*zsyS z!=5i@)TV(Py>3c}+v@5Y@B+118enZ{w;NZubEbG^C_%$*h8+OdZ<^YZ!y!5{gk_TL zoOvsO#ZbkT9|>tw1KiuDPBmyTx4$hKT)FXYIz~Nv9UrUmuXHx^* zr=}7%5XdJ80Q=05?&g=N0h}*PdDxLa6#ZYOVw)4M0l_h$pthf>;RAk=!Z5oVx5n^q z5?OZ5?>HMbmxXwESXgqNrTKk4%OIXz^#JGNt5P(1D4iTEq>s>o zMv5-$Xeg|&XUbFwhY~oIhHX0|6@^0$rEtVl0uIYS%eJ|m`Tw`@_3%86+Mv1W2)xHI5$;bZe)5m0tO>b zRdqO6j6(@IDy?BZXhW4u0V^J_Td$i z9hw@<3vS#b$ToGp&Gp}wGOVI4%1B`&`c5})66iQ}IQ_Dvi_d=IpsNZKnu72Z!ous;*kjMXWC~C*S2FuKyE0(kiHF7Vque1#E%04eZXz;o{{(FT0DPaN zj=Z2hlC?$+@I5!Ctt6oTAs1nvjKyAD`f@DGGpT=M=NW=2J)=xR|5GM|U?N5F4|*Op zRDI^cbEtpGMC3VEn`|*mOT`&VSI6F4Xcx0UqL}aq146zEsjukyt}vo1hO1zTps%Fnn4_ zu}3HOI5AK|i_+tmIDDvZ8apeQ)ib}VPAVevSW{up3nN`1!P3=9VDh*n>P8kP6|pS2 z8#2geh6GF3y1>w}$=ndd?(dRG2s^f}#Vj{gG!h0@uCrqpRgsFSDfzN_Q7`j*JKth= zQ8P9K;4h8WXXaV`vux0m?RrbXpvkRGqzfxHDLx6f(SjiqbQG2u8j_YJ;oEH(j@q|_ zATP_~AxbO#Sfs2HuVYXL8cil(H{!5iM`81?KgNTYH7C1^o0IT`4bkNOkxrlbr4KOU z4!snoJ6DLe4k&P*bYnsvk6G9zVuA6LC5D?s2gcKGOz8vPbbuoJCzGP@J4eDZ=md9G z?c~G15;%ODao$1-Td2Ok1n7Iuk+P1emgZ1LODof+yU`cjnDM1d`A^ga@J>rNTx{NZ zpg$i=+Z40DFX0qORcoIj1HSJv-wUUD+!`a z6Oj9$hx0?km$}ViU*WQ2=A9r};Fgh_u7Uvv@NEWSB^>^tlZNTRY=(!(O{cB9P3A0|X|% zav&Iv+|V80*A5v=q$@j?6z`qCiANdB!-aD3t&L;A#j!E~<(7V{m>8GieP`1`GJ7}8 zM*;o4lOeoxMU$_z{V0?SiX6c4!JK-j1MzTqan7Lxe`b91gYj~Ohh>lSe@Vg@UeW3S zP>xvk^W7tNQ8!r(@dEC35HG_^>?#LBTn+hH;9hMcmFdb(91?PKjSU5bnHad&CK2H4 zPkaE7T@QUopQ^-;0vk&l5OF^E*rf8hq$0^OQ)<9Y91<-3!3Kj)#TL0sog9Jd3^i68 zOb>83#4A~Wdm|X=|Jca^6a)Ejp%zyhjLU2Frg%6jaF;t7cIV*79~oZ;ZXOR@tUsEO zd$XGW_yR{n?kXY07S8A!L8ksK37mM%+Zdl6R}iTRp3jz4*=x4Ge7a@4-GyzpEea%F zpNu1KYizoeg;mAo<|!Y$7r)b$P2L$a{joY{4jzX9^gr3u1Gs^<&}qJWw=36{^*G1l z^yxM0du-~Jjm`L|Q|LFWNU5v5*NrKl#oIX4O9;1Z+VJ{*n{HwIWzF~kpqK{G#Pu(n zYtZ2l5sRJ7ZA*d|_*xryRU3N_<8Q{NmCaySmrOuf;4@A`-5>?&Oo~Wl-Nh|!^x<*_ zM5EEhBz%EGFjfI4VxS;4#UbD`@g_doX1&>!ZG#8IE8W=96y#wLkM8hlLz-1Oe4ZvwkgBf8dCOVR3WDB`|#GMoj%kW3vweP?Mna`k<;N&_{+Gz3fL zi_cOHa8-6n3m#;M*AJ;<`pLkEHnHXUzntY5;O&cb-Pu%;+m9NcFJ=_^Sj%q$j}xf zbf<6FU?UQ4UkkB+pFcQdn=>{fut?F|=I5dZya#Pcv`<>#QJ1hOfn_>^=(pfZHNZV& z)4@wM!IJa%{D;c>;RGI^{D|3!1;`ae9B;8{6_o*yg#bms-x4@({$ZQ9sRjohvnf?p zN;vAtwkB}g;IQvoY60!zVy2@+QU(r&wa(7YdEkC@*` z+%frXGY zrwF_A__`~hWEKGF@Acb! zSzrH`accJ) zM-7T%9ydH2TU-$MOILihNIl%&Y%*r~OCd8iaCoatke$Iw%QDlO#WbDfu#YE`Fkvu; z*n*1=afebd^qF08SI;`Eorxk`;%H_#2#ma(fG~|(2tK4E-Zf@VBwi6x zy#HktU=!=gm`#i~xmVo`(%x}lk7DArWHJVXj$+M%Lhg3E0oh(JrpfRdUC20X8T`0p z9)if41qEYoxdE{kN=4RaB-_)Kkkg#QS}1H_9h`%~-aBqk#pUK#5~#;{w+or0ShJ8| zYahok*lE#b_USEPS#LC?z2|043LV9q6ys6yfg4g~r6H}+tm;E|84@{)t;$NP6hC%D zp-*Y^sUF;6&m2BsV$S-vrwa$_Q+108+zK< zNXMv=A`Klrlkw%`_}JL$JIR&+RN!5e5dqd%U>ZjUbgKI%Ot1m+(!DVwR2+)X7qMtR zRcT&c9{tr+z}B)1+L&Tzfx}rhWt14$;b`aOOWyJfda!3>6_{$#3~NNQ3FMWQlyTe@ z8S+&@&Nh8J*_XF4FAp6MIrhg4e9G29lVe`T%fJmLb2IW)6$Nh6h87JN(8o65e^e6Vn?HMK9CJE4uM;w%OoV;b2Nbj%^Zd7t>kzy1lgx}r4%Ei z$Z9HdNKnyB0kawaaa#uVe1gx)UkcDRPzi856+Z;15+vWO5CGg^)d8fe`jE0#3L!$_ zt_)h|X7DH|Q8Fj{3{*(TS-i0SL?S>VL5X830pgwvT1HBM074nw5u_@;mn48dauUJR z0so(@dH%8#HWTpt?|Bp2OqF85 zG}Ev-kPBMJ$xAUS1)Bs-H0z9aDMv0^XT+=jW}^7ajy+k*#wB8@l*2j>kC(!ZWNauj zz2FDPOF?)bPLx+)<{}{j*2Qt~Qp#2XSXecooMN^T$4)~5hZDiYf#4>Zm4r=vLad>K zA3yGk7-p@D1Ig8QOp8*6i@=@~*~dlL>bE~QHG;3UVo3nshgP)PdZ*~>!QRx?f-~?& zb{Q7c=|jBdAu450owxAx!ot((uV$1-(I4r5p}r=)+oUo&>`PG|jXg12AK0yAvcgU( zdNj6oww`nLta6-~3+{TH+kpN7xuh1x56*oKY4#(kKLBl>Onp#^O_-w(HRz~OKmORs z#W-JDsbxf6L*2~A2s_$BX^l^5>FiLhiBBRu`r)WHoPyCbMN#P7qAmCnM^@uX8HhiR zGPgoe(xJ_mt(~Xi&}sVNBsMTxD{({?u83)#6OC(S82&s;xC*Cd<7!LnxVicX-6mCY za%1ewxw@|)b1IJ70{74OW4R$mACm-*&!Qg(+8k8a36$$%e;}Z{L)`4T*v7f~(36+o zB#xzUaUJB%Lyk##6#hKQ(&@ZHh#lS5Gyq3>DauKVwQL3sr6bMQiH&-8x3%XXm66qr z`p|A`&*$Z`E_P|7?(KHpuNCD01lGlFZ`22LTT`njYnbrg8}$>rZHe$h*Vo6sXw(OH zd;TJx*LAVp7waeV*ixq`-;u7O#7@0m9}ug$Snu9#?MxiG$sp=3)_vVRK+)1^Q@6!_ zf3ZF^f9)(q*+V4$a4c6|09!+YQ_Jg`XW?8Dq$$c!{CSim4LF|-C>vsLUJT0{X7dVL z8#{EdKET*Ahi76fWa$`6b{rxp^BUYdm-|U<^Rz^Zy{0jq%}zNaHqL{iqPygGp^Vnc1D}h z8_Gd`pj{3wtuyO>1!@jK2Iip>@#FQqa4hONOHoGjL{C8d(?aaELc3)m1Mq*3qAcLy zwgD77iH>+&`#Z2GiZ|mA$H>L5ov-&D@x`UQ4X>m`aRMAJMJu^`p`uWRR>ro^*R#)_ zdl|0b+8^-`o$8ja+#{~Wvcjjd(^w$WRe|z`;GV907CWI?ANt7I&3cM%(4lzzrz*&a zLZ^aCIujRk7^b9&f51i)pH95wKdw*5drF%4bTp`>NuSQ;lr;Fo>`+gcKmrx<0?Kk5 zP5kd{G_tQ8?icg4>sR&UL82=2kv1uIQ@ehnIyjcyp|==xd?x)g6jugRWEP1Y0D7g3 zPgPHcv`YE0py|+0NlyYTi-7biLCY)?Jp;7NBGL7#66@WmR~lb|A%D8^&5>UrJm`>K z`jKD|G@bt|=~K zd4j4eAO-QG9KGP6$w4|ho_^B72AhL}P+9>TM=JTJfUdRC<3wo^UpHXjMtcJ2w?O}b zQ?BVp2EKIgGhyr#RND~2A1r950C}%)j%doj1kiM}H2nxK1o{d=V@OjKI`Vonx(q2W zAM`jIZGfg@J|&-y%+9mX%RtNKK?YWXu8eKGR6pLh2bc0kzU%~z{>2B!;ESfbW}{Wm zdx_S;$B*bQB_A}&e`}+O|1)U%O+tB)X%(TVBLq8;2HH@la43QQRE5sY_JgJ*3a0@g zmWfXe7CUIF?PrA%GC<+@PtYiA)mUgMy|yM42gDL1*C{UP(Iv|7W!E&5{2Zz&A%IN1s?Z zQl6#^n5BG_5~4Qdbl_zG$RAbv=b$$uhNz~ggq-|7XfMR4AMwu??T2X6CkKB(XjBP) zm5pymffCV^5Wqz?0pc$NJqZRVnfQww{2LwgJ)r+(bI6%Na_C%c&tQOV0>9WCa_0DH z7Z z1`lfzqJ2LE-mw*FZ_F@=mPI}W^n0LXuvUs02vq@9y_3Gs!EXgkGe{IX&Lo5bG;Z0a zlvg+usC{qlA~>A}owPHCRsjELWB?_=`wOSR#9&3x!GYw#96yoV;>f`BNollKDl(^s zZ$sf(TNS97v)Snr9kky;&v(!_Ip~K3?VqsV_{R{&k@pf9r10Bi$2NyG>NF0#>N@J7&u;M16s1^&&T zX}OGkMBhy``X6PqNO;&G@CxYZHieHsUjdp*gaCd5y$Upy0MVJ4$K$Mc_9NQopmRY# zCiy7w37{`{!j@2hgzb_BKm_ztHhO`B{)2MkUf2M<80QzmoM~)YP zepk}KzurOL0s4KxHz*d@BjHmd3>2}t4Ri{+^Rb|Zf&U`tGeJ`iPxPCh&$iKDfIi1Y z9|AqmMrUF?E40x=Ko@h`V1TC}q0}ZY9`s}zP4|>^uSP#|;6ex8;-D8f=$jn$T_%k# zh5$F337me?LBHytKXlLs9CRA)q3jM1bkL(DOGGN);p!%N_t*cD6kp=u;{8~(|^ z!XbXWgTBo{uZw*NHRAKybX4*F^b zz1%_H1-cTEPvt~S^)b-2(uNks=%+y61UgJKc6TYSiUiP1;4{#lLZBDgcNRK_|A77x z_otGt4YJYT_Yrgz>4VM&{j-z@eKP1$4AX#Q_{E?nOPVIkwMg*G1kjzJr+|J?04@Q2 z2((Yow}3tw(??0K2R#+^xq|-`=vzT!7+?-tu2A*3=GK+ z2?fegf6&`O(~pWYSI}mTKtBn-9Qn@^w3J^6`Wx_ZBf#{RfIbD2aFm$A5^hDpl}HE* zfwiFbfu1esZJ?*ZeQDqY(6kK4atMd^g1#U0SRwx{=(jMBGbG}l1Nt(!FLMwEeGQ12g5L!CdrYz=|F@uNk}c^Q zLH7hLgYZwFvq7g*Z$bvvAz>5}qyvwF-UxcB;Qs^kR7?qFRPO?P0qAQ{2c-Wt=tG#8 zx1qAR96PS&QRiNp4 z80D}P^dq3h2>J@pAA*(+TnG9m8@&Q_PYg2nhw0yj3mP>geGe|a?}zedMT#hY011a6 zKtGE5rycZ%phu$5re7u;`U!NojqXLyQS3N9hY1*Css}G__*M_km7@eKX-C zB%ruVdNgP_XVPOqqdt9zIcDHY(8#^XKL<35mo4m&10|r5ACsN}8V$oI^=m*I$b=7G zF~AFvFx*DZ0X@=2w}3_fneql`1e{6#0d#?lUI7}_>+=cxKY^ZM(wegN1k~Q;eiYh-9L9odvP>oAlzYQHIWGZEWcZW>IKNZ2vQQTC8Nb-luCUZ|kM=yMoGV zDu}(mT>nd#C=>d*XJ=8UAU0@)p3|lB88Kspo}Lup)JL9Np&vIe_R3oQwb-$anRCTDq*#tHrAMlMal>OViZTCDg1{mWSXW_?8L{0(}pUT{`J zeeBMy`c0J9Ki2x1K0G#UqrNpZ>`}d_%C%Tnbr)BL{Gr;@$DB4Mua;l?o9ml8XEpdv z@jEhTg~y`MDY0e$)>D%f)`(cI$Mg~E>9MI#=)RbLlinwG;U;}2`4-gkPtP0YbhYLo z{fYkuSEoFp_lsTlh`x&#ZS5BQ6g~fp(-VsJ{J-f>{Wtgv2h(F0KBi~IS{~D1P7>qPLq74O{xZ+QV>|R*?X=VDoOyWossD}^c>gncMv{z(KDvjlpa+iK z^aP@W^(y~)Rv)FGe%9E8(EsY6|NHeqy~;b!={=I<34;Y@46*DN;{t8O3lwPM5-Mrf zi~kiF@?p=?nEJNazAn1mg4jhn^_O@B{rV+6*E6%g8FJHJ{x8YRW$j1H&8e~Lcj@Wr zZ4DiDZF3qrY{9qURecPCuii0EeDS~CA5h#yck8FdcI?(~jm>;R51#-3c4)1w>Riy$ z(b8Ot*<9?nw=iyc-_-xfEBy66=;m|Y(ofV*t4j#t!F%-g{~Jn&Ia&6O{!Ypo%=0J3 zM!l;~R?B0TysPJ=T#l3(v8nrztF`aynd+|Chqx4T^8<)pI;U-isXu wKV`g@qI?n?wNKB{hG(b5s`u$9rcT0x+Ptx`-|W+erL=}pl-05PX1&k<2iL!L0{{R3 diff --git a/nuvoton/m451/roboticarm_controller/Objects/roboticarm_controller.lnp b/nuvoton/m451/roboticarm_controller/Objects/roboticarm_controller.lnp index 910aa18..07c0128 100644 --- a/nuvoton/m451/roboticarm_controller/Objects/roboticarm_controller.lnp +++ b/nuvoton/m451/roboticarm_controller/Objects/roboticarm_controller.lnp @@ -1,4 +1,4 @@ ---cpu=Cortex-M4.fp +--cpu=Cortex-M4.fp.sp ".\objects\main.o" ".\objects\24l01.o" ".\objects\spi_hal.o" diff --git a/nuvoton/m451/roboticarm_controller/Objects/roboticarm_controller.sct b/nuvoton/m451/roboticarm_controller/Objects/roboticarm_controller.sct index 9af005d..038c20a 100644 --- a/nuvoton/m451/roboticarm_controller/Objects/roboticarm_controller.sct +++ b/nuvoton/m451/roboticarm_controller/Objects/roboticarm_controller.sct @@ -7,6 +7,7 @@ LR_IROM1 0x00000000 0x00040000 { ; load region size_region *.o (RESET, +First) *(InRoot$$Sections) .ANY (+RO) + .ANY (+XO) } RW_IRAM1 0x20000000 0x00008000 { ; RW data .ANY (+RW +ZI) diff --git a/nuvoton/m451/roboticarm_controller/Objects/roboticarm_controller_sct.Bak b/nuvoton/m451/roboticarm_controller/Objects/roboticarm_controller_sct.Bak new file mode 100644 index 0000000..9af005d --- /dev/null +++ b/nuvoton/m451/roboticarm_controller/Objects/roboticarm_controller_sct.Bak @@ -0,0 +1,15 @@ +; ************************************************************* +; *** Scatter-Loading Description File generated by uVision *** +; ************************************************************* + +LR_IROM1 0x00000000 0x00040000 { ; load region size_region + ER_IROM1 0x00000000 0x00040000 { ; load address = execution address + *.o (RESET, +First) + *(InRoot$$Sections) + .ANY (+RO) + } + RW_IRAM1 0x20000000 0x00008000 { ; RW data + .ANY (+RW +ZI) + } +} + diff --git a/nuvoton/m451/roboticarm_controller/RTE/_Target_1/RTE_Components.h b/nuvoton/m451/roboticarm_controller/RTE/_Target_1/RTE_Components.h index d082d1e..753f51d 100644 --- a/nuvoton/m451/roboticarm_controller/RTE/_Target_1/RTE_Components.h +++ b/nuvoton/m451/roboticarm_controller/RTE/_Target_1/RTE_Components.h @@ -1,6 +1,6 @@ /* - * Auto generated Run-Time-Environment Component Configuration File + * Auto generated Run-Time-Environment Configuration File * *** Do not modify ! *** * * Project: 'roboticarm_controller' @@ -16,10 +16,16 @@ */ #define CMSIS_device_header "M451Series.h" +/* Nuvoton::Device:Driver:CLK:3.01.001 */ #define RTE_Drivers_CLK /* Driver CLK */ +/* Nuvoton::Device:Driver:GPIO:3.01.001 */ #define RTE_Drivers_GPIO /* Driver GPIO */ +/* Nuvoton::Device:Driver:PWM:3.01.001 */ #define RTE_Drivers_PWM /* Driver PWM */ +/* Nuvoton::Device:Driver:SPI:3.01.001 */ #define RTE_Drivers_SPI /* Driver SPI */ +/* Nuvoton::Device:Driver:SYS:3.01.001 */ #define RTE_Drivers_SYS /* Driver SYS */ + #endif /* RTE_COMPONENTS_H */ diff --git a/nuvoton/m451/roboticarm_controller/roboticarm_controller.uvoptx b/nuvoton/m451/roboticarm_controller/roboticarm_controller.uvoptx index 09842b3..60f38fe 100644 --- a/nuvoton/m451/roboticarm_controller/roboticarm_controller.uvoptx +++ b/nuvoton/m451/roboticarm_controller/roboticarm_controller.uvoptx @@ -77,7 +77,7 @@ 0 1 - 255 + 6 0 1 @@ -103,7 +103,7 @@ 1 0 0 - 8 + 7 diff --git a/nuvoton/m451/roboticarm_controller/roboticarm_controller.uvprojx b/nuvoton/m451/roboticarm_controller/roboticarm_controller.uvprojx index 595839f..9c0643b 100644 --- a/nuvoton/m451/roboticarm_controller/roboticarm_controller.uvprojx +++ b/nuvoton/m451/roboticarm_controller/roboticarm_controller.uvprojx @@ -184,6 +184,7 @@ 0 0 2 + 0 0 0 8